• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(87)
  • 리포트(83)
  • 자기소개서(4)

"vhdl alu" 검색결과 1-20 / 87건

  • 한글파일 VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    실습제목: Simple ALU 1. ... 문장을 작성했는데 문제가 있어서 이와 같은 방법을 썼다. 98) adder를 거치고 난 후 의 2진수를 temp에 할당했다. 100~110) double dabble 알고리즘을 VHDL ... 주제 배경 이론 ALU는 CPU에서 연산을 담당하는 소자로 제어신호 발생기에서 제어신호를 발생시키면 디코더를 거쳐서 어떤 동작을 할지, 즉 산술, 논리 등의 연산을 하고 결과 값을
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 파일확장자 임베디드 IoT 응용실험 - VHDL을 이용한 8-bit ALU
    과제명VHDL을 이용한 8-bit ALU 설계 및 검증과제 목적1. VHDL을 사용하여 논리회로를 기술한다.2. Vivado 환경에서 작업을 한다.3. ... 원리 파악, coding source 구상 및 검색, 계획 보고서 제출2주차 : 8-bit ALU - VHDL로 코딩 및 Xilinx FPGA tool VIVADO로 임시 시뮬레이션 ... 보고서 및 제작 동영상 제출기본 개념ALU (Arithmetic Logic Unit) : 산술 논리 장치ALU : 중앙처리장치 속에서 연산하는 부분을 ALU라고 한다.이것은 산술연산과
    리포트 | 9페이지 | 3,000원 | 등록일 2022.04.14
  • 한글파일 VHDL을 이용한 ALU 설계
    대한 VHDL Code와 시뮬레이션 결과입니다. ... (A); else Logic_unit 'X'); end if; end process; end data_flow; - Logic unit block에 대한 VHDL ... 일반적으로 ALU는 입력된 연산자와, 현재 더해지고 있는 연산자, 누산기에 저장된 결과, 그리고 시프트된 결과들을 저장하기 위한 공간을 가지고 있으며, ALU내의 비트의 흐름과, 그
    리포트 | 9페이지 | 2,000원 | 등록일 2010.10.14
  • 워드파일 VHDL을 이용한 16bit ALU 설계 및 파형
    (15 downto 0)); --ALU 출력값end ALU;architecture ALU16bit of ALU iscomponent MUX4to1 --MUX 4x1Port ... ;entity ALU is Port ( clk : in STD_LOGIC; --ALU 동작클럭 A : in STD_LOGIC_VECTOR ... --ALU Operation select값 S0 c_in : in STD_LOGIC; --ALU Operation select값
    리포트 | 18페이지 | 2,000원 | 등록일 2011.01.11
  • 한글파일 VHDL을 이용한 ALU설계(소스코드포함)
    부분을 ALU라고 한다. ... Digital design Project -Design of ALU- ◎Theory - ALU(Arithmetic and Logical Unit) - 중앙처리장치 가운데 연산을 수행하는 ... _vhd IS END tb_alu1_vhd; ARCHITECTURE behavior OF tb_alu1_vhd IS --Component Declaration for the Unit
    리포트 | 9페이지 | 1,500원 | 등록일 2009.12.01
  • 한글파일 16비트 ALU VHDL설계
    ; ARCHITECTURE arch_alu16 OF alu16 IS BEGIN PROCESS(a, b, ci, code) -- VARIABLE temp : std_logic_vector ... 16bit ALU @ 동작 CODE 동작 FLAG 비고 0000 Y←A Z transfer 0001 Y←A+1 C, Z, V, N increment 0010 Y←A+B C, Z, V ... VDHL LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY alu16 IS PORT
    리포트 | 3페이지 | 1,000원 | 등록일 2007.06.21
  • 파일확장자 [ALU] VHDL을 이용한 ALU 설계
    : out std_logic_vector(7 downto 0) ); end ALU; architecture ALU of ALU is signal op : std_logic_vector ... library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ALU is port ( -- input ... output signal bit_set : out std_logic; zero : out std_logic; flags : out std_logic_vector(2 downto 0); alu_out
    리포트 | 2페이지 | 1,000원 | 등록일 2003.09.20
  • 한글파일 MIPS Arithmetic Logic Unit 제작 (VHDL을 이용한 MIPS ALU)
    ALU : 31번째) -- 이외의 내용은 책 속의 기본 내용과 동일. ◆ VHDL Code -- ::: micro_09.vhd ::: 메인 entity 파일 - 총 31개의 subALU를 ... (000), or(001), add(010), sub(110), slt(111) 이며 앞의 1비트는 Bnegate 로, 뒤의 2비트는 Operation의 목적으로 사용된다. -- vhdl ... _sub (1비트 ALU : 2) - micro_09_sub (1비트 ALU : 3) ... - micro_09_sub (1비트 ALU : 30) - micro_09_31 (1비트
    리포트 | 7페이지 | 1,500원 | 등록일 2008.12.26
  • 한글파일 [전자공학] VHDL으로 ALU설계
    ▲ 이 론 - ALU(Arithmetic and Logical Unit) 중앙처리장치 가운데 연산을 수행하는 부분을 ALU라고 한다. ... 따라서 ALU와 레지스터 사이에는 빠른 속도로 처리할 수 있지만 ALU와 메모리 사이는 어드레스를 골라야 하는 만큼 많은 시간이 필요하다. ... [그림1-1] 중앙 처리 장치의 내부 구조 [그림1-2] ALU에서의 산술 연산 실행 (1) ALU의 산술 연산 기능 ■ 연산의 대상이 되는 숫자 -정수, 부동 소수점 수(실수),
    리포트 | 5페이지 | 2,000원 | 등록일 2004.03.11 | 수정일 2021.12.21
  • 파일확장자 [컴퓨터 구조]VHDL을 이용한 alu 32bit 설계
    32bit alu로서 각각 a,b에 32비트의 입력 포트가 있고, 원하는 명령을 하기 위해 inst 4bit로 설계하였고, 출력포트에는 y와 carry로 설계하였다. ... ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;-- entityentity ALU ... downto 0); -- 출력포트 y 선언(32 bit) carry: out std_logic); -- 출력포트 carry 선언end ALU
    리포트 | 7페이지 | 1,500원 | 등록일 2006.06.21
  • 한글파일 [컴퓨터 구조] VHDL을 이용한 4bit ALU 설계
    기능 3) 4 to 1 MUX의 기능 (4) 4-bit ALU의 진리표 2) VHDL을 이용한 4-bit ALU설계 3) 시뮬레이션후 출력값 확인 3. ... 본론 1) 4-bit Arithmetic Logic Shift Unit의 설계 (1) 4-bit ALU의 구성(블록도) {그림 4-bit ALU -. 4bit ALU는 위의 블록도와 ... 서론 -. 4-bit ALU에 대하여 2.
    리포트 | 10페이지 | 1,000원 | 등록일 2005.06.01
  • 한글파일 MU0 CPU -VHDL로 설계하기(ALU, ACC, IR, MUX, PC, control unit)
    《 MU0 VHDL 》 1. ... ; entity alu is port (rst : in std_logic ; alufs : in std_logic_vector(2 downto 0); a : in std_logic_vector ... ALU Library IEEE ; use IEEE.std_logic_1164.all ; use IEEE.std_logic_arith.all ; use IEEE.std_logic_unsigned.all
    리포트 | 28페이지 | 2,000원 | 등록일 2008.11.25
  • 워드파일 ALU 8bit 설계 베릴로그
    : myand.v // Generated : Thu May 10 14:18:44 2018 // From : interface description file // By : Itf2Vhdl ... : Thu May 10 14:52:48 2018 // From : c:\My_Designs\alu\alu\src\TestBench\alu_TB_settings.txt // By : ... ----------------------------- // // Title : alu_tb // Design : alu // Author : // Company : // //----
    리포트 | 36페이지 | 2,500원 | 등록일 2021.04.09
  • 한글파일 논리회로설계실험_비교기,MUX,ALU 결과레포트
    비교기_MUX_ALU 1. 실험 목표 비교기, MUX, ALU를 설계해 본 후, 8가지 기능을 가진 ALU를 설계해본다. 시뮬레이션을 통해 올바르게 코딩을 했는지 확인한다. ... 다른 것들은 익숙하나 함수나 프로시저를 vhdl에서 구현해보는 것은 처음이었다. 이 때 가장 눈여겨 본 것은 variable의 사용이었다. ... A y=00101-01100=00101+10100=11001 ** VHDL은 2의 보수 기술을 사용하여 음수 값을 나타낸다. -01100을 1의보수취하면 10011이고 여기서 1을
    리포트 | 17페이지 | 2,500원 | 등록일 2021.10.09
  • 한글파일 논리회로실험 비교기와 MUX, ALU 결과보고서
    비교기와 MUX, ALU 1. 실험 목표 VHDL의 Subprogram으로서 함수와 프로시저를 사용하여 예비보고서에서 배운 4비트 ALU를 작성한다. ... ALU를 설계함으로서 ALU의 특성에 대해 알게 되었다. ... 실험 결과 - 실험 1. 4가지 기능을 가진 ALU를 Function과 procedure를 사용하여 설계하시오. 1) 논리기호와 진리표 ALU의 논리기호 ALU의 진리표S1 S0 논리식
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 디지털설계 실습보고서
    소스코드 library IEEE; //VHDL에서 사용할 라이브러리를 지정하는 명령어 use ieee.std_logic_1164.all; //ieee라는 라이브러리에서 ieee.std_logic ... use ieee.std_logic_unsigned.all; //ieee라는 라이브러리에서 ieee.std_logic_unsigned라는 이름의 패키지를 가져오겠다는 뜻 entity ALU ... : in std_logic; A, B : in std_logic_vector(3 downto 0); Y : out std_logic_vector(3 downto 0)); end ALU
    리포트 | 3페이지 | 1,000원 | 등록일 2021.12.28
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 5. Arithmetic comparator, Adder and ALU 결과 보고서
    Arithmetic comparator, Adder and ALU 1. ... 실험 개요 1) Arithmetic comparator를 기본 게이트 및 VHDL로 구현한다. 2) 1-bit full adder를 기본 게이트 및 VHDL로 구현한다. 3) 3-bit ... 실험 결과는 [표 2]와 같다. 4-C) 3-bit ripple-carry adder를 VHDL로 구현 VHDL코드 및 시뮬레이션 결과는 다음과 같다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 파일확장자 A+학점인증 디지털시스템설계 과제2 보고서 Combinational Logics(코드, 설명 포함)
    Write a VHDL program of 74X381.2. ... Write a structural VHDL program of the blackbox.4. ... 목표 - 주어진 논리 회로에 대해 VHDL프로그램을 이용하여 설계하고 test bench를 이용하여 시뮬레이션을 하여 결과를 확인할 수 있다.1.
    리포트 | 8페이지 | 3,000원 | 등록일 2021.04.07
  • 워드파일 SoC 보고서 - 4.8051
    ROM에는 이미 작성된 hex파일을 넣어서 동작하도록 한다. 8051 VHDL코드는 그림1-D-3에서 볼 수 있듯이 다수의 VHDL코드를 연동해서 작성한 것으로 상위 계층과 하위계층으로 ... 연산장치인 ALU가 있고 제어를 위한 CONTROL_UNIT가 있다. 그 외 메모리를 제어하는 모듈이 존재한다. ... 프로세서는 연산 및 제어를 하는 부분으로 연산 및 제어를 하는 ALU, CPU/MCU의 동작을 제어하는 제어장치, CPU/MCU의 작업공간 및 임시 저장장치인 레지스터 등으로 이루어져
    리포트 | 30페이지 | 2,500원 | 등록일 2021.09.23
  • 워드파일 2023상반기 DN솔루션즈 최종합격 자소서(+면접후기)
    지원 직무와 관련하여 본인이 지금까지 준비한 사항과 보유하고 있는 경험을 기술하세요. 1) 디지털 시스템 설계 A+ VHDL을 이용해 디지털시계 entity와 내부 아키텍처를 설계했습니다 ... Full adder, Register, ALU 등의 단위블록을 조합하는 과정에서 데이터 흐름에 대한 이해를 높였습니다. 3) 아날로그 회로실험 A+ R, L, C 수동소자 및 MOSFET
    자기소개서 | 4페이지 | 5,000원 | 등록일 2023.07.12 | 수정일 2023.08.26
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업