• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(82)
  • 리포트(59)
  • 자기소개서(23)

"vhdl lcd" 검색결과 1-20 / 82건

  • 워드파일 [논리회로설계실험]VHDL을 활용한 LCD설계
    /hd44780-lcd-display-interfacing-with-altera-fpga-vhdl/ -VHDLLCD를 구동하기 Hyperlink "http://linkjapan.co.kr ... /Wordpress/hd44780-lcd-display-interfacing-with-altera-fpga-vhdl/" http://www.digital-circuitry.com/Wordpress ... Source & Results 1)VHDL Source 2)TestBench Source 3)Result wave 시뮬레이션을 동작시킨후, 콘솔창에 run 2s를 입력하여 2초까지
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.26
  • 파일확장자 VHDL을 TFT LCD와 함께 이용한 스도쿠게임 만들기
    FPGA Quartus8.1 버전을 이용한 DE2 보드 VHDL 파일입니다.
    리포트 | 4,000원 | 등록일 2016.04.13 | 수정일 2016.04.15
  • 한글파일 VHDL를 이용한 LCD 설계
    (7 downto 0)); end LCD_TEST; ( VHDL Modual - File name : "lcd_test " 로 지정 ) - entity문 entity의 이름은 lcd_test로 ... LCD_A(0)(RS) P50 LCD_D(4) P63 LCD_A(1)(R/W) P51 LCD_D(5) P64 LCD_ FPGA_RSTB : in STD_LOGIC; FPGA_clk ... end if; end if; end process; lcd_state
    리포트 | 16페이지 | 1,000원 | 등록일 2010.05.27
  • 파워포인트파일 VHDL Digital Watch(LCD) VHDL PPT입니다.
    Interface 자료 수집 VHDL 사이트 정보 수집 Digital Watch 자료 수집(문헌 및 인터넷) 2주차(5.26 ~ 6. 1) LCD Interface source code ... 분석 Digital Watch VHDL source coding Emulation in Board Work Plan(2/2) 3주차(6. 2 ~ 6. 8) VHDL Coding for ... 목 차 Work Plan Roles of Members Intended Functionalities Brief Design Work Plan(1/2) 1주차(5.19 ~ 25) LCD
    리포트 | 14페이지 | 2,000원 | 등록일 2008.09.28
  • 한글파일 VHDL을 이용한 LED, LCD 문자출력
    VHDL을 이용한 LED와 LCD 문자출력 [ R E P O R T ] 과 목 명 : 담당교수 : 학 과 : 학 번 : 학 년 : 성 명 : 제 출 일 : [실습평가] 본인의 학번과 ... 본 론 [ Function문을 사용하여 VHDL을 작성하시오. ] < 7Segment LED 실행소스 > [ led_control.vhd ] library ieee; use ieee.std_logic ... busy lcd_com.vhd data e rs rw lcd 8 8 1 -> 0 clk clk 출력되도록 하는 한편 lcd_com의 address로 입력된다. lcd_com에 address와
    리포트 | 15페이지 | 3,000원 | 등록일 2009.12.03
  • 파일확장자 16x2 문자형 LCD 를 FPGA상에서 4-비트 모드로 구동하기 위한 VHDL 코드
    lcd16x2; ... entity lcd16x2 isport(Clk : in std_logic; -- system clock(5MHz) Rst : in std_logic; -- asynchronous ... Read(‘1’)/nWrite(‘0’), always `0`(write) En : out std_Logic -- LCD Enable, active high);end
    리포트 | 9페이지 | 2,000원 | 등록일 2012.02.20
  • 한글파일 vhdl을 이용한 디지털시계 소스(lcd,led,도트매트릭스,스탑워치,수정기능)포함 완벽
    "; lcd_char05 ... "; lcd_char09 ... "; lcd_char13
    리포트 | 50페이지 | 3,500원 | 등록일 2007.12.08
  • 파일확장자 [회로설계]VHDL로 구현한 LCD(시계표현) 및 세그먼트(알람시각)를 이용한 디지털 시계
    DEVKIT xcs10-3pc84 DEVFAM spartan FLOW FPGA Express VHDL MODULE count25.vhd MODSTYLE count25 Normal ... ..FILE:lcd_seg_watch.npl JDF E // Created by ISE ver 1.0 PROJECT lcd_seg_watch DESIGN lcd_seg_watch Normal ... ; architecture LCD_ctl_arch of LCD_ctl is constant FONT_COLON : std_logic_vector(7 downto 0) := "00111010
    리포트 | 1페이지 | 3,500원 | 등록일 2003.12.08
  • 워드파일 [논리회로설계실험]VHDL을 활용한 Calculator 설계
    Source & Results 1)VHDL Source 1-1)Lcd_display 1-2)lcd_test 1-3)data_gen 2)TestBench source 3)Result ... 배경이론(Background) 1)LCD 이전 실습에서와 같이 FPGA의 LCD를 이용한다. ... Lcd에 값을 출력하는 process로, 연산은 이루어지지 않고, 각 LCD의 위치에 어떤 값들이 나타나야 할지를 결정해준다.
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (10) Final Project
    베릴로그나 VHDL, 배치와 배선(PAR)을 합성하고, 생성된 펌웨어 파일은 칩을 설정하는데 사용된다. ... LCD_RW, LCD_DATA, BTN_SW_, PIEZO, LED를 갖는다. ... 처음부터 각각 리셋, 메인클럭 1㎒, LCD 인에이블, LCD 레지스터 선택, LCD 데이터, 버튼 스위치 1 ~ 16, 피에조, LED와 연결된다.
    리포트 | 110페이지 | 10,000원 | 등록일 2019.10.13 | 수정일 2021.04.29
  • 한글파일 (합격자소서)한국요꼬가와일렉트로닉스메뉴팩쳐링_제품설계
    대학 4학년 때, 전자공학실험 수업으로 FPGA기반 VHDL을 이용한 라인트레이서 프로젝트를 수행하였습니다. ... 그 과정은 차세대 디스플레이 교육으로 TFT-LCD, AMOLED, FED 등 생소하게 느껴지는 디스플레이 이론과 Mask 패턴 설계와 같은 실습은 너무나 어렵게 다가왔습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2020.12.31
  • 한글파일 (합격자소서)동서발전 발전 전기업무
    그 과정은 차세대 디스플레이 교육으로 TFT-LCD, AMOLED, FED 등 생소하게 느껴지는 디스플레이 이론과 Mask 패턴 설계와 같은 실습은 너무나 어렵게 다가왔습니다. ... (최근 5년 이내 사례로 기술할 것) [다른 생각, 다른 결과] 대학 4학년 때, 전자공학실험 수업으로 FPGA기반 VHDL을 이용한 라인트레이서 프로젝트를 수행하였습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2020.12.31
  • 한글파일 (합격자소서)경신 연구개발
    대학 4학년 때, 전자공학실험 수업으로 FPGA기반 VHDL을 이용한 라인트레이서 프로젝트를 수행하였습니다. ... TFT-LCD, PDP, AMOLED, FED 등 여러 가지 디스플레이 이론을 배우고 단계별 프로젝트를 통하여 실습을 하였습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2020.12.31
  • 한글파일 (합격자소서)애경산업 공무분야
    그 과정은 차세대 디스플레이 교육으로 TFT-LCD, AMOLED, FED 등 생소하게 느껴지는 디스플레이 이론과 Mask 패턴 설계와 같은 실습은 너무나 어렵게 다가왔습니다. ... 바탕으로 좋은 결과를 만들어낸 경험이 있다면 기술해 주십시오. [ 700자 이상 800자 이내 ] [다른 생각, 다른 결과] 대학 4학년 때, 전자공학실험 수업으로 FPGA기반 VHDL
    자기소개서 | 4페이지 | 3,000원 | 등록일 2020.12.31
  • 워드파일 [논리회로실험] 실험10. LCD
    Introduction 이번 실험에서는 VHDL을 이용하여 LCD창에 원하는 문자열을 출력하는 회로를 설계한다. 8자리의 2진수에 각각 특정 문자가 저장되어 있다. ... 외부에서 LCD에 기록한 후 LCD가 내부동작에 머무르고 있을 경우 Busy를 ‘1’로 두어 외부의 명령어를 받아들일 수 없음을 나타낸다. ... 이번 실험을 통해 LCD Display 과정을 공부할 수 있다.
    리포트 | 14페이지 | 2,000원 | 등록일 2014.03.22
  • 파일확장자 [검증된 코드 & 복사가능, 학점A+] 전전설2 2.Schematics - 예비+결과+성적인증 (서울시립대)
    실험 목적1.ISE의 여러 logic gate symbol을 직관적으로 이용하는 Schematic 설계를 익힌다.2.FPGA Device Configuration을 해보고, Verilog HDL을 이용한 설계를 익힐 준비를 마친다.배경 이론 및 사전 조사 실험 전에 조..
    리포트 | 14페이지 | 3,500원 | 등록일 2021.07.10 | 수정일 2021.07.13
  • 한글파일 [12주차] Calulator
    VHDL Source ----------------------------------------------------------------------------------------- ... PORT MAP ( FPGA_RSTB => FPGA_RSTB, CLK => CLK, LCD_A => LCD_A, LCD_EN => LCD_EN, LCD_D => LCD_D, data_out ... X"0D" => lcd_db
    리포트 | 20페이지 | 2,000원 | 등록일 2012.06.30
  • 한글파일 [11주차] LCD
    PORT MAP( FPGA_RSTB => FPGA_RSTB, FPGA_CLK => FPGA_CLK, LCD_A => LCD_A, LCD_EN => LCD_EN, LCD_D => LCD_D ... LCD_A(1) ... >= "001010110") then lcd_cnt
    리포트 | 10페이지 | 2,000원 | 등록일 2012.06.30
  • 한글파일 논리회로실험 - 제 10장 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계 결과보고서
    일단 webpack을 실행시키고 VHDL module을 생성한다. 구성한 코드를 입력한다. 다음으로 VHDL 소스를 Synthesize와 Implementation를 해야한다. ... Introduction VHDL의 순차 논리 회로 설계에서 KIT의 출력방식 중 하나인 7-segment를 통하여 디지털 시계를 설계해보고 이를 Training Kit에 검증해보는 ... 0.00000025 × 2000000 = 0.5초 - s01_clk 는 0.5초 동안 “L”이다가 다시 0.5초 동안은 “H”동작을 반복 - 1Hz의 클럭이 발생 - 분주회로의 VHDL
    리포트 | 32페이지 | 1,000원 | 등록일 2014.08.15
  • 한글파일 VHDL을 이용한 디지털 시계 설계
    introduction 이번 실습은 VHDL을 이용한 디지털 시계 설계로 분주회로를 설계하는 방법을 학습한 후, Training Kit를 통해 7-segment와 LCD로 검증하였다 ... 가산점 ( LCD ) code 전체 코드보다는 segment와 다른 부분과 그에 대한 설명을 씀 entity에서는 LCD에 필요한 LCD_A, LCD_EN, LCD_D를 지정해 주었다 ... 하지만 LCD는 상태를 결정하는 LCD_D가 8비트 이므로, 이 변수들 또한 8비트로 바꿔주었다. 저번 LCD 실습시간에 사용한 100KHz 분주와 50Hz분주의 코드이다.
    리포트 | 20페이지 | 3,000원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업