• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,620)
  • 리포트(1,372)
  • 논문(176)
  • 시험자료(49)
  • 자기소개서(15)
  • 방송통신대(5)
  • 서식(1)
  • 이력서(1)
  • ppt테마(1)

"signal processing" 검색결과 81-100 / 1,620건

  • 워드파일 LG전자 VS본부 HW설계 합격 자기소개서
    이후 위의 지식을 바탕으로 SIMD IP를 설계하였습니다. verilog를 이용해 signal processing과 HW logic을 짠 후 C언어를 이용해 API를 불러왔습니다.
    자기소개서 | 4페이지 | 3,900원 | 등록일 2023.06.01
  • 워드파일 single cell genomics
    이를 통해 형성된 fingerprint를 분석하면 기존보다 더 강한 signal로 정확한 결과를 얻어낼 수 있으며, 원하는 표적 단백질에 대한 결과를 도출해낼 수 있다. ... 이 방법을 통해 각 cell type 별로 processing이 가능하며, 이후 탐색적 분석을 통해 downstream analysis를 진행할 수 있다. ... 현재 교수님은 transcriptome 기반의 database를 가지고 연구를 진행중이며, trajectory analysis를 위해 QC processing을 통해 더 정확한 pipeline을
    리포트 | 2페이지 | 1,000원 | 등록일 2021.11.25
  • 워드파일 분자생물학 기말 레포트
    통해서 signal transduction을 한다 (1). ... Co-stimulator이나 cytokine과 같은 accessory signal이 필요하다. ... Antigen-presenting cell (APC)에 발현되어 있는 TLR는 이러한 accessory signal을 regulation하며 MyD88과 같은 adapter protein을
    리포트 | 12페이지 | 2,500원 | 등록일 2021.01.17
  • 워드파일 [생명공학] CD34+ HSC isolation from umbilical cord blood, mixed lymphocyte reaction
    이를 이용하면 특정 유전자를 발현시키는 signal을 인위적으로 제공하여 세포의 분화를 유도할 수도 있다. ... HSC의 분화능에 대해서 좀 더 살펴보면 어떤 세포로 분화가 될지는 몸 속에 signal에 의한 특정 유전자 발현 및 단백질 생산이 조절되고 이에 따라 세포의 운명이 결정되게 된다. ... 이러한 결합 과정은 복잡한 process를 거칠 필요가 없다.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.03.14
  • 한글파일 pllt chapter 6. personality factors 요약
    - reaction of anxiety means that the amygdala has sent neural signals to the rest of the brain - positive ... may hinder the process of successful L2 learning ? ... of L2 acquisition - introverts were significantly better in their pronunciation - extroversion may be
    리포트 | 6페이지 | 2,000원 | 등록일 2021.11.09
  • 한글파일 나노측정 및 표면 분광학 최종 정리 족보
    XPS의 physical process Al {} _{2}O {} _{3}와 Al을 구별하는 것은 그 둘의 binding energy difference를 보고 할 수 있는데, 순수 ... XPS는 surface sensitive technique이기 때문에 surface contamination은 XPS signal의 오류를 초래하므로, 이를 제거하기 위해 UHV 환경이 ... 층일수록 collision이 많이 일어나기 때문에 photoelectron의 방출확률이 낮아지며, 방출된다 하더라도 kinetic energy가 낮을 것이고, 이들은 Noise signal
    시험자료 | 10페이지 | 2,000원 | 등록일 2019.10.16 | 수정일 2019.10.22
  • 한글파일 Proteomics 중간 레포트입니다.
    genetic element required to perform transcription and translation (promoter, translational start and stop signals ... acid peptide with three disulfide bonds. by binding to its receptor EGFR, hEGF initiates intracellular signaling ... For example, upsteaming processing costs for recombinant protein synthesis in plant-based systems would
    리포트 | 3페이지 | 2,000원 | 등록일 2023.05.18 | 수정일 2023.06.28
  • 워드파일 화실기 Time-resolved Thermal lens calorimetry
    Thermal lens signal graph (a) Azulene in acetone (sample 1). ... 또한, voltage signal의 감소폭과 각 용액의 농도로 흡광 계수를 구할 수 있는데 이 실험은 sample간 격차가 심하게 나타나는 상당한 오차율을 보였고, 이를 줄이기 위해 ... time-voltage의 변화를 관찰하였다. beam의 이동경로에 sample을 넣지 않았을 때는 Figure3의 (a)와 같이 빛이 지나갈 때마다 일정 voltage를 가진 후 이를 유지하는 signal
    리포트 | 14페이지 | 4,000원 | 등록일 2021.05.15 | 수정일 2021.05.24
  • 워드파일 [서울시립대 반도체소자] 4단원 노트정리 - PN junction & Metal-SCD junction
    to ERROR ∴ Q is proportional to I, which means forward biased diode has non-zero capacitance. small signal ... built-in potential pf. 1) calculate E level suppose N-type SCD: pf. 2) current equilibrium depletion layer process ... distribution layer width def.) disproportionate to dopant density. agrees with E field distribution eq. (②) process
    리포트 | 16페이지 | 1,500원 | 등록일 2021.12.31 | 수정일 2022.01.24
  • 워드파일 (영어 서평) 아주 작은 습관의 힘 - Atomic Habits
    The habits formed by such methods can lead the brain to send signals to bring specific result without ... The author explains how to form habits by four models of habits that are signal, desire, response, and ... The three steps to bring good behavioral changes are consist of identity, process, and result.
    리포트 | 3페이지 | 2,000원 | 등록일 2019.08.30
  • 한글파일 [수업자료][반도체][반도체사전] 반도체 용어 영어 번역본입니다. 국내에서 하나밖에 없는 자료입니다.
    A processor that learns and processes informatiot into an electrical video signal. ... process of semiconductor integrated circuits. ... 신경망처리장치(NPU) [Neural Processing Unit] 우리의 뇌처럼 정보를 학습하고 처리하는 프로세서.
    리포트 | 9페이지 | 3,000원 | 등록일 2021.08.05
  • 한글파일 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트
    port문 end test1; --test1 entity 종료 architecture Behavioral of test1 is -- test1의 동작을 선언해줄 architecture signal ... > z, s => s ); ------------------- 위부분은 testbench를 클릭하였을 때 나오는 기본값이며 소스파일에 넣은 선언들과 비슷하다. stim_proc: process ... Sign and Magnitude, 2. 1s complement, 3. 2s complement이다.
    리포트 | 53페이지 | 8,000원 | 등록일 2022.01.25 | 수정일 2022.02.08
  • 한글파일 Disabled People's Fight for Rights in South Korea and Japan
    First, multifaceted reforms in both countries signal a paradigm shift away from the medical or welfare ... authoritarianism in Korea and one-party dominant democracy in Japan - excluded certain groups from policy processes ... implementation methods characterized by formal rules, enforcement mechanisms like fines, and dispute resolution processes-including
    리포트 | 3페이지 | 3,000원 | 등록일 2023.12.30
  • 한글파일 VHDL 설계 실습 보고서 (전감산기 설계)
    ininteger range 0 to 1; D, B: out std_logic); end vhdl200911758; architecture design of vhdl200911758 is signal ... sum: std_logic_vector(1 downto 0); begin process(x, y, bi) begin sum
    리포트 | 3페이지 | 1,000원 | 등록일 2020.05.29
  • 워드파일 CT Generation and Reconstruction
    Ramp Filter Ramp filter is a filter that amplifies the signal of high frequency rather than low frequency ... I changed the angels of the reconstruction process. ... Analysis CT Projection Process I used MATLAB for generating the image of “brainimage”.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.09.02
  • 워드파일 연세대 전기전자공학부 20-1학기 기초아날로그실험 8주차 예비레포트
    Therefore, the larger the , the greater the oscillation signal becomes. ... the Colpitts LC oscillator uses the structure of the inverting amplifier to amplify the oscillation signal ... The process of inducing the output voltage of the Boost converter is shown in [Figure 1-6].
    리포트 | 19페이지 | 2,000원 | 등록일 2021.03.14
  • 파워포인트파일 절차서나 개선 대책서, 계획서, 조직도 작성시 참고가 가능한 자료 입니다.
    leader line leader) - Establish e-CIM system (Alert signal displayed until PM completed) Jun.01 Sep.03 ... No sign on BS-03 to confirm done main bond @ BS- 1 Trained operators to clean spray nozzle on time and ... from customer PE PE/QA Customer PE PE Implementation To revise relevant documents including parameter Sign
    리포트 | 27페이지 | 10,000원 | 등록일 2020.05.04
  • 파일확장자 복소적응필터를 이용한 텔레비젼 고스트제거 특성 개선
    The sin(x)/x signal is used as the reference signal a complex adaptive filter. ... In this paper, a method of ghost cancelling for the television signals using complex adaptive filter ... the phase difference of multipath waves are investigated using horizontal sync pulse and color burst signal
    논문 | 7페이지 | 4,000원 | 등록일 2023.04.05
  • 워드파일 디지털 통신 Summary Note(1)
    Signal and Spectra 1.1 디지털 통신 신호처리(Digital communication signal processing) 1.1.1 Why digital? ... 사용하게 된다. 1.5.4 PSD(power spectral density 와 autocorrelation 일반적으로 통신시스템에서 묘사되는 주기신호나 불규칙신호는 파워신호(power signal
    리포트 | 45페이지 | 1,000원 | 등록일 2023.02.06
  • 한글파일 시스템프로그래밍 ) 임베디드 시스템의 특징에 대해 정리하고 최근 임베디드 시스템이 많이 활용되고 있는 분야에 대한 사례를 조사하여 요약 정리하시오. 할인자료
    이 코어는 일반적으로 Micro-controller나 Digital signal processor 중 하나이다. ... 전체 장치의 일부로 내장되어 있다는 의미에서 내장형 시스템, 즉, embedded system 이란 이름이 붙여졌으며 micro-controller나 digital signal processor ... 자동차 또한 일반 PC처럼 CPU (Central Processing Unit)에 의존하고, GPS 내비게이션이나 안정성 컨트롤/에어백 관리 등을 위한 내장 시스템을 갖추고 있다.
    리포트 | 6페이지 | 5,000원 (5%↓) 4750원 | 등록일 2023.01.20
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업