• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(321)
  • 리포트(252)
  • 자기소개서(67)
  • 이력서(2)

"VHDL 실습" 검색결과 1-20 / 321건

  • 워드파일 multiplexer(멀티플렉서) VHDL 실습보고서
    1.목적(Purpose) 이번 실습은 8-1 Multiplexer를 구현하는 실습으로 8개의 입력값중 1개의 출력값을 선택하여 만들어내는 Multiplexer를 설계하는 실습이다. ... multiplexers-in-digital-logic/ fundamentals of logic design, Charles, Larry L Kinney 7th 3.Source & Results 1)VHDL ... 지난 실습에 이어 component를 이용하여 실습하는데, 자일링스 상에서 먼저 2-1multiplexer을 모듈로 만들고, 이 모듈을 component로 불러오는 방법을 이용하여
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 워드파일 VHDL을 통해 구현한 ShiftRegister 실습보고서
    VHDL Source 그림11. 실습에 주어진 조건 2-1)TestBench source 그림12, 13. ... /4281774 동기/비동기 리셋 fundamentals of logic design, Charles, Larry L Kinney 7th 3.Source & Results 1-1)VHDL ... 실습으로는 Shift register을 설계하며, circular, logical, arithmetic shift를 모두 구현한다.
    리포트 | 16페이지 | 2,000원 | 등록일 2020.12.24
  • 한글파일 VHDL 실습(8bit Counter, State Machine) 결과
    ☞ 이번에 설계한 8비트 카운터는 4비트 카운터 2개를 이용하여 구성하였다. 2개 중 왼쪽(앞쪽)에 있는 4비트 카운터의 Carry값이 1일 때만 동작하게 한다. 즉 오른쪽(뒷쪽) 4비트 카운터의 상태가 “1111”일 때 T가 1이 들어오면 Carry가 발생 해 다음 ..
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.06
  • 한글파일 VHDL 실습(AND, OR, NOT, NAND, NOR) 결과
    VHDL 실습(AND, OR, NOT, NAND, NOR) 결과 보고서 ※ 모든 사진은 위에서부터 모듈, 테스트벤치, 시뮬레이션, 진리표 순서입니다. ① AND 입력 A 입력 B 출력
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 워드파일 Full adder VHDL 실습보고서(전가산기)
    4 bit Full adder(4비트 전가산기)와 Subtractor(감산기)를 직접 VHDL코딩을 통해 구현하는 실습이다. ... VHDL에서 만들어 두었던 것을 토대로 Test bench가 구성됩니다. ... subtractor 학 과 : 전자전기공학부 과 목 : 논리회로설계실험 과 제 명 : 4bit FullAdder & subtractor 학 과 : 전자전기공학부 1.목적(Purpose) 이번실습에서는
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 워드파일 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    Vhdl를 이용한 코드 출력 결과 디지털 시계 이론적 배경 Vhdl를 이용해 디지털 시계를 구성하기 위해 component 문을 활용하여 설계를 하게 되었다. ... .8 이론적 배경 Vhdl를 이용한 코드 출력 결과 60진 카운터--------------------------------------------------p.9 이론적 배경 Vhdl를 ... HDL및 실습 -component 문을 이용한 시계 설계- 목차——————————————— MUX 2x1 component---------------------------------
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • 한글파일 VHDL 설계 실습 보고서 (전감산기 설계)
    VHDL 설계 실습 보고서 VHDL Lab_01 일 시 학 번 이 름 제 목 전감산기 설계 실습 목적 전감산기는 한 자리 2진수 뺄셈을 할 때 전가산기에서 더한 결과 캐리가 발생하는 ... 전감산기를 설계하는 과정을 통해 조합논리회로를 VHDL로 설계하는 방법을 공부한다. 실습 내용 실습 결과 전감산기의 논리식 1. 전감산기의 연산은 다음 식과 같다. ... 전감산기의 VHDL 설계 1.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.05.29
  • 한글파일 VHDL 실습(D-FF, JK-FF, Counter) 결과
    VHDL실습(D-FF,JK-FF,Counter) 결과 보고서 ※ 모든 사진은 위에서부터 모듈, 테스트벤치, 시뮬레이션, 진리표 순서입니다. ?
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 한글파일 VHDL 실습(XNOR, MUX, FullAdder, 4-bit FullAdder) 결과
    VHDL 실습(XNOR, MUX, FullAdder, 4 Bit FullAdder) 결과 보고서 ※ 모든 사진은 위에서부터 모듈, 테스트벤치, 시뮬레이션, 진리표 순서입니다. ?
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 한글파일 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트
    Purpose Xilinx프로그램과 VHDL code를 이용해 기초적인 조합논리회로와 4 bit full adder & subtracter를 설계해 본다. ... 이를 통해 Xilinx프로그램과 VHDL code에 대해 익히고 4 bit full adder & subtracter의 작동원리에 대해 알아본다. 2. ... 설계한 4 bit 가/감산기를 Xilinx에 VHDL code로 만들고 다음 예제를 적용해 시뮬레이션 해보고 예상한 결과값이 나오는지 확인한다.
    리포트 | 53페이지 | 8,000원 | 등록일 2022.01.25 | 수정일 2022.02.08
  • 파일확장자 VHDL과 FPGA 실습 키트를 이용한 묵찌빠 게임 [디지털논리회로 실험 Term Project]
    1. 서론 2016년 3월 알파고와 이세돌의 바둑 경기가 끝난 후 전 세계적으로 인공지능 붐이 일어나고 있다. 최근에는 4차 산업 혁명에서 핵심인 인공지능을 이용하여 스타크래프트 경기를 준비하고 있다고 들었 다. 세계적인 추세에 힘입어 우리는 묵지빠 게..
    리포트 | 28페이지 | 4,000원 | 등록일 2020.04.24 | 수정일 2020.04.27
  • 한글파일 VHDL 실습 (D-FF, JK-FF, 8-bit counter) 예비
    VHDL 실습(D-FF, JK-FF, 8-bit counter) 예비보고서 가.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.01.06
  • 한글파일 VHDL실습 디지털 시계
    VHDL실습 디지털 시계 설계 및 시뮬레이션 1.서론 ‘디지털시계‘ 라는 전체 시스템의 설계를 vhdl이나 schematic등 하나의 디자인이 아닌 기능별로 블록화, 부품화 ... 실습결과는 다음과 같다. ... 그럼 위 과정의 순서대로 디지털시계를 설계하는 것을 적어보려 한다. 2.실습내용 2x1 MUX 프로젝트를 새로 생성할 때, 평소에는 다음과 같은 창에서 그냥 넘어 갔지만 지금은 component문을
    리포트 | 14페이지 | 2,000원 | 등록일 2019.04.20
  • 한글파일 VHDL실습 MUX 및 Decoder
    VHDL실습 MUX 및 Decoder 설계 및 시뮬레이션 1.서론 및 배경이론 논리게이트를 사용한 흐름제어 -> ENABLE은 ‘할 수 있게 하다’라는 의미로 출력을 제어할 ... 시간지연이 일어난 것을 볼 수 있지만 우리 실습과정에서는 크게 상관이 없으므로 이대로 진행한다. ... 내가 설계한 칩이 어느 위치에 있는지 확인할 수 있다. file-new-VHDL file을 열어 다음과 같이 1bit 2?
    리포트 | 18페이지 | 2,000원 | 등록일 2019.04.20
  • 한글파일 VHDL실습 VGA Pattern Generator
    VHDL실습 1.서론 (1) 빛의 3원색 색의 3원색과 빛의 3원색은 위의 그림과 같다. 하지만 색의 3원색이 혼합될 때와 빛의 3원색이 혼합될 때의 원리는 약간 다르다. ... 실습결과는 다음과 같다. 모니터에 3색 세로줄이 출력되는 것을 확인할 수 있다. 3색 자신의 이름 ~19번째 줄 ? ... 컴퓨터와 보드를 연결하고 보드와 모니터를 연결한 후, 파일을 보드에 다운받아 모니터에 출력하여 확인한다. 2.실습내용 3색 세로줄 6번째 줄~19번째 줄 ?
    리포트 | 14페이지 | 2,000원 | 등록일 2019.04.20
  • 한글파일 VHDL실습 상태머신 설계
    VHDL 레포트 1.서론 (1) state machine (2) BCD Counter (3) Gray Code Counter (4) Dual Counter (5) Stepping ... Motor (6) ADC제어기 (7) ADC Model 2.실습내용 (1) BCD Counter (2) Gray Code Counter (3) Dual Counter (4) Stepping ... 받은 제어기는 출력 Enable 신호를 ADC로 보내 ADC가 Data를 마이컴으로 전송하도록 제어한다. (7) ADC Model ADC제어기의 시뮬레이션을 위한 모델이다. 2.실습내용
    리포트 | 18페이지 | 2,000원 | 등록일 2019.04.20
  • 한글파일 VHDL실습 메모리(ROM,RAM)설계
    VHDL 레포트 1.서론 - (1) signal vs variable (2) ROM vs RAM 2.실습내용 - (1) signal (2) variable (3) ROM (4) RAM ... DATA_OUT : read data signal 2.실습내용 signal을 이용한 시프트레지스터 설계는 다음과 같다. 6번째 줄~12번째 줄 ?
    리포트 | 10페이지 | 2,000원 | 등록일 2019.04.20
  • 한글파일 VHDL을 이용한 논리 게이트 실습
    VHDL실습 Report QuartusⅡ를 이용한 기본 논리게이트 실습 제출일 2013년 3월 18일 제출기한 2013년 3월 18일 담당교수 최 종 성 교수님 학과 전 자 ... 이것은 실습과정에서 VHDL로 기술후 RTL viewer로 바꾸는 과정에 해당한다. ... 그러므로 VHDL에서는 Naming을 할때 조금은 조심해야만한다. 무엇 보다 가장 큰 차이점은 실습과정에 있었듯이 VHDL 언어로 기술후 물리적으로 바꿀 수 있다는점이 가장크다.
    리포트 | 28페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 한글파일 쿼터스 프로그램을 이용한 VHDL 실습(결과 포함)
    실험 목적 : 쿼터스 프로그램을 이용한 VHDL 설계 결과와 스케메틱 설계 결과를 비교하고, 쿼터스 프로그램이 익숙해지도록 하기 위함 차 례 P r o j e c t 생 성 D e
    리포트 | 7페이지 | 2,000원 | 등록일 2015.05.30
  • 한글파일 VHDL을 이용한 다양한 플립플롭 및 카운터설계, 실습
    실습명 : 4주차 VHDL 실습 2. ... VHDL실습 Report SR F.F.~12진 카운터 제출일 2013년 4월 1일 최종기한 2013년 4월 1일 담당교수 최 종 성 교수님 학과 전 자 공 학 과 학번 2009144029 ... 실습 및 시뮬레이션 (1) SR F.F.
    리포트 | 22페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업