• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL실습 상태머신 설계

*지*
개인인증판매자스토어
최초 등록일
2019.04.20
최종 저작일
2019.03
18페이지/한글파일 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

소개글

VHDL및 실습 수업과제로 상태머신을 설계하여 동작을 확인한다.

목차

1. 서론
(1) state machine
(2) BCD Counter
(3) Gray Code Counter
(4) Dual Counter
(5) Stepping Motor
(6) ADC제어기
(7) ADC Model

2. 실습내용
(1) BCD Counter
(2) Gray Code Counter
(3) Dual Counter
(4) Stepping Motor
(5) ADC제어기
(6) ADC Model

3. 파형

4. RTL뷰어

본문내용

(1) state machine
• state machine은 동작순서를 결정하는 시스템으로써 rising edge나 falling edge등 클락에 의해 넘어간다. 상태천이 조건에 의해 상태가 천이되기도 한다.

(2) BCD Counter
카운터를 이용하는 것이 아닌 상태머신을 이용하여 10진 카운터를 설계한다.

(3) Gray Code Counter
8진 카운터로써 비트열중 하나씩만 변화한다.

(4) Dual Counter
앞의 카운터들과는 다르게 상태천이에 조건이 걸린다.
최초의 상태는 IDLE로 스위치의 입력을 기다린다.
sw_a가 high 즉, 1이 되면 STATE_A가되고 10진 카운터로 동작한다.
sw_b가 1이 되면 STATE_B가 되고 16진 카운터로 동작한다.

(5) Stepping Motor
Dual counter와 마찬가지로 상태천이에 조건이 걸린다. dir=1이면 S0->S1->S2->S2->S0 순으로, 시계방향으로 돌아가고 dir=0이면 S3->S2->S1->S0->S3 순으로, 반시계방향으로 돌아간다.

(6) ADC제어기
왼쪽그림은 오른쪽 그림과 같이 ADC제어기를 설계하기 위한 것이다.
ADC는 IDLE상태에서 trigger를 기다린다. 마이컴에서 FPGA로 trigger신호를 보내면 FPGA에서는 대기하고 있다가 trigger가 들어오면 sc신호를 전송한다.
그러면 ADC는 샘플링을 시작한다. 샘플링 후 ADC는 dr신호를 제어기로 전송하고 dr신호를 받은 제어기는 출력 Enable 신호를 ADC로 보내 ADC가 Data를 마이컴으로 전송하도록 제어한다.

(7) ADC Model
ADC제어기의 시뮬레이션을 위한 모델이다.

참고 자료

없음

자료후기(1)

*지*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 순차논리회로설계 결과레포트 10페이지
    위해 FSM도(상태도)를 작성하고, Verilog, VHDL설계하는 ... 설계된 순차논리 회로를 시뮬레이션으로 설계를 검증하고 실습키트에 동작을 확인한다 ... )를 작성하고, Verilog, VHDL설계하는 과정을 공부한다. ·
  • 워드파일 SoC 보고서 - 4.8051 30페이지
    기존 코드는 edge를 감지하고 det신호를 발생시킨 후 상태머신에서 det신호를 ... 실습 소감 원래는 8051 Core를 이용해서 설계한 logic과 연동시키는 ... ] read 하이퍼 터미널 출력 리셋 버튼을 누르고1을 눌러서 Read 상태
  • 워드파일 SoC 보고서 - 2.비동기통신(UART) 40페이지
    상태머신이 돌아가는 클록은 사용자가 정한다. 이번 실습에야 한다. ... 상태머신을 동작시키기 위한 카운터들과 pclk, pclk_d 등의 신호가 ... 예상 시뮬레이션 시간 리셋 200ns + start_sig(10μs) + 상태머신
  • 파일확장자 디지털 도어락 설계 코드, 보고서 ,ppt 5페이지
    동작을 위한 내부 상태머신설계 및 구현하고, 상태 전환이 설계 사양대로 ... 머신을 구동한다. ... 1) FPGA 실습키트를 활용하여 디지털 도어락을 구현한다. 2) Switch
  • 워드파일 VHDL코드를 이용한 spartan-3 보드구현(spartan 보드 사용법) 29페이지
    One-Hot encoding 방법은 유한상태 머신(FSM : Finite ... 시뮬레이션과 에뮬레이션을 통하여 실습의 전체적인 흐름을 파악하도록 한다. ... State Machine)에서 상태를 나타내는 경우에 많이 이용되는 방법이다
최근 본 자료더보기
탑툰 이벤트
VHDL실습 상태머신 설계 무료자료보기
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업