• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(22,428)
  • 리포트(20,374)
  • 시험자료(1,107)
  • 방송통신대(420)
  • 자기소개서(272)
  • 논문(161)
  • ppt테마(42)
  • 서식(38)
  • 노하우(7)
  • 이력서(6)
  • 전문자료(1)

"4비트" 검색결과 201-220 / 22,428건

  • 파일확장자 진보영일기와 전가산기를 이용한 4-bit 가감산기 설계 제안서 및 설계 결과 보고서
    이 소자는 실제 진보영일기로서 C와 B의 제어입력과 4bit의 입력과 4bit의 출력을 가지게 된다.6. 7483 소자의 선정 - 전가산기인 7483의 실제 소자인 74LS83을 사용한다 ... 이 소자는 전가산기로서 Carry와 4bit의 BCD 력을 가지게 된다. ... Ⅲ 설계의 사전 조사1. 7487의 구조 - 7487은 4bit의 진-보-영-일기로서 입력 B와 C로서 제어가 되며 A의 입력으로 Y의 출력을 내보내는 가감산기 회로이다.
    리포트 | 14페이지 | 2,000원 | 등록일 2012.07.17
  • 한글파일 실험 6. 4-bit 산술논리회로와 시뮬레이션
    실험 6. 4-bit 산술논리회로와 시뮬레이션 목적 1. ALU (Arithmetic Logic Unit)의 기능과 구조를 이해한다. 2. MyCAD의 사용법을 익힌다. 3. ... 의 4비트 산술 연산회로의 동작을 설명하시오. ... 1비트 전가산기의 설계 검증 예제 실험 방법 ; 1.
    리포트 | 10페이지 | 1,000원 | 등록일 2006.12.20
  • 파워포인트파일 4-bit 전가산기(Full Adder)설계와 2의 보수를 이용한 감산기 설계
    관련 기술 및 이론 (1) 4 bit 전가산기(Full-Adder) 2진 병렬 가산기는 복수개의 비트들로 구성된 2진수 2개를 더해 결과를 출력하는 조합회로로, 그림과 같이 전가산기들을 ... 관련 기술 및 이론 (3) 4bit 전감산기(Full-Subtractor) 4bit 전가산기와 유사하지만 입력값 B에 not을 취하고 처음 캐리에 1을 넣어준다는 점에서 다르다. 2진수의 ... 설계 내용 및 방법 FullAdder_4 코드(4bit 전가산기 코드) Entity FullAdder_4 is -- Entity로 FullAdder_4를 선언 port (A : in
    리포트 | 14페이지 | 1,500원 | 등록일 2010.06.24
  • 파일확장자 결과보고서 // 5.멀티플렉서, 인코더 및 디코더 6.2진4비트 가산기
    1MUX에서도 한개의 출력을 내기 위한 방법을 익힐 수 있었다.실섬 3에서 2to4디코더를 설계하여 n개의 입력에 따라 2n개중 하나의 출력을 내는 기능을 구현하였다. ... 하나의 출력을 내는점에서는 디코더와 먹스가 비슷한 기능이라고 할 수 있을 것 같다.그리고 실험4에서는 MUX를 이용하여 주어진 논리함수식을 간단하게 설계할 수 있었다. ... Select신호의 입력에 따라 여러개의 입력중 하나의 값을 출력하는 것이다.2:1MUX에서는 Select 신호가 0일때와 1일때 각각 A나 B의 값이 나오는것을 확인하였고 마찬가지로 4:
    리포트 | 8페이지 | 1,000원 | 등록일 2010.11.17 | 수정일 2018.09.10
  • 한글파일 verilog에서 half adder를 이용하여 4bit full adder 를 만드는 프로그램입니다.
    Report half adder 를 이용한 4bit full adder 교 과 목 : 분 반 : 교 수 : 학 부 : 학 번 : 이 름 : //------------HALF ADDER ... in1(wire1), .in2(c_in), .sum(sum), .c_out(wire3)); assign c_out = wire2 | wire3; endmodule //---------4bit ... FULL ADDER---------------; module four_bit_adder(x, y, c_in, sum, c_out); input[3:0] x; input[3:0] y
    리포트 | 2페이지 | 1,000원 | 등록일 2007.10.13
  • 파일확장자 논리회로) 2‘s Complement Numbers를 이용한 Signed 4-Bit 병렬 가/감산기 (Pro_VSM 시뮬, 진리표, 실험사진)
    실험 제목 : 2‘s Complement Numbers를 이용한 Signed 4-Bit 병렬 가/감산기2. ... 실험 목적 - 2‘s Complement Numbers를 이용한 Signed 4-Bit 병렬 가/감산기를 직접 설계한다.3. ... 최상위 bit는 부호를 표시한다.
    리포트 | 1페이지 | 1,000원 | 등록일 2013.06.09
  • 한글파일 [마이크로컴퓨터]마이크로컴퓨터시스템 4비트 ALU의 디자인
    ◎ 4 Bit ALU ◎ C0 S2 (ENCODER) ENCODER S1 SO 2 TO 1 A0 MUX F0 FA 4 TO 1 MUX BO A1 FA2 TO 1 4 TO 1 MUX ... F1 B1 MUX A2 FA B22 TO 1 4 TO 1 MUX F2 A3 MUX FA B3 4 TO 12 TO 1 F3 MUX MUX C0 위의 그림에서 4-to-1 MUX에 입력으로 ... I C 명 칭 I C 번 호 수 량 비 고 NAND 7400 12 AL 4개, LU 8개 Dual Full Adder 74183 2 Dual 4-to-1 MUX 74153 2 Quad
    리포트 | 3페이지 | 1,000원 | 등록일 2006.04.08
  • 한글파일 [컴퓨터 구조] VHDL을 이용한 4bit ALU 설계
    본론 1) 4-bit Arithmetic Logic Shift Unit의 설계 (1) 4-bit ALU의 구성(블록도) 1) 4-bit arithmetic circuit 2) 4-bit ... 본론 1) 4-bit Arithmetic Logic Shift Unit의 설계 (1) 4-bit ALU의 구성(블록도) {그림 4-bit ALU -. 4bit ALU는 위의 블록도와 ... logic circuit 3) 4 to 1 MUX (2) 4-bit ALU의 기능 1) 4-bit arithmetic circuit의 기능 2) 4-bit logic circuit의
    리포트 | 10페이지 | 1,000원 | 등록일 2005.06.01
  • 한글파일 [정보통신실기] 4bit 가감산기
    예비보고서 4bit- 2진 가감산기 덧셈 연산은 4개의 연산, 즉 0+0=1,0+1=1,1+0=0,1+1=10이 가능하다. ... 따라서, 합 비트가 출력될 수 있도록 생성되어야 한다. 4비트 덧셈기는 표준 구성요소의 대표적인 예이다. 4.캐리의전파 2진수를 병렬로 더한다는 것은 피가수와 가수의 모든 비트를 동시에 ... 이는 가가의 덧셈기에서 출려된 캐리를 다음 단의 덧셈기의 입력 캐리에 연속적으로 덧셈기의 입력 캐리에 연속적으로 연결함으로써 덧셈기를 구현할 수 있다.그림 4는 4비트 2진식 리플
    리포트 | 5페이지 | 1,000원 | 등록일 2004.05.12
  • 한글파일 ALU구조와기능이해,MyCAD 를 이용하여 4비트 ALU를 설계하고 시뮬레이션(예비보고서)
    4비트 산술 연산회로로서 입력인 Cin값과 A0, A1, A2, A3의 값들이 입력으로써 4bit로 연산이 되며 바로 1비트 가산기에 입력된다. ... 이용하여 의 4비트 산술 연산회로를 그리고 시뮬레이션을 한 다음 심볼화 하라. ... 시뮬레이션 결과를 인쇄하라. 8) 실험 4와 5 및 7에서 설계된 심볼을 이용하여 의 4비트 산술논리회로를 그리고 시뮬레이션을 한 다음 심볼화하라.
    리포트 | 5페이지 | 5,200원 | 등록일 2009.03.11 | 수정일 2018.07.08
  • 워드파일 [전자회로] 4bit alu
    입력을 a_alu, 두 번째 4bit 입력을 b_alu, function 지정을 control로 -- 출력 4bit를 c_alu 로 선언하고 형식은 길이가4인 vector 형으로 ... in std_logic; c_alu : out std_logic_vector(3 downto 0); cout_alu : out std_logic); end alu; -- 첫번째 4bit ... t5,t6,t7,t8,t9,y0,y1,y2,y3,y4,y5 : std_logic_vector(3 downto 0); signal c0,c1 : std_logic; begin t9
    리포트 | 17페이지 | 1,000원 | 등록일 2001.12.10
  • 한글파일 [전자, 시스템칩설계]verilog를 이용한 4bit Full adder
    제출: 2006. 4. 7. 4bit Full adder 1. ... bit중 최하위 비트(m[0], n[0])의 덧셈 연산에서는 하위 단에서 발생하는 carry에 대해 고려할 필요가 없으므로 입력단자가 2개인 half adder를 사용하였고, 그 다음 ... 이때 발생되는 캐리는 다음 연산에 쓰이는 전가산기의 input carry로 wire n2를 통해 셋째 단 z 단자에 입력된다. 3,4번째 비트의 연산도 마찬가지로 m[2]+n[2]=
    리포트 | 6페이지 | 1,000원 | 등록일 2006.06.26
  • 파일확장자 [컴퓨터구조론] C++을 이용한 4비트 데이터 해밍코드 소스
    C++을 이용한 4비트 데이터의 오류를 검출하는 간단한 해밍코드 소스입니다 #소스일부 int main() { int select = 0; ... while ( 1 ) { printf("4bit Hamming Code Generate & Testn"); printf("
    리포트 | 4페이지 | 1,000원 | 등록일 2007.08.29
  • 한글파일 [논리회로]동기식 카운터 설계(4비트)
    순차 회로 설계(4비트 동기식 카운터) [목적] 1. 4비트 동기식 카운터의 개념과 동작 특성을 익히고, PLD를 이용한 회로 구현. ... Circuit design으로 설계할 수 있다. 4비트 동기식 카운터는 (2n-1)인 0부터 15까지 계수할 수 있는 동기식 카운터를 의미한다. 4비트 동기식 카운터의 계수 순서는 ... [기본이론] 카운터는 순차회로들 중에서 가장 간단한 회로이다. 4비트 동기식 카운터 설계의 순서는 1. State Diagram, 2. Transition table, 3.
    리포트 | 5페이지 | 1,500원 | 등록일 2004.09.18
  • 워드파일 [정보통신] 4bit 비동기식 카운터
    library ieee;use ieee.std_logic_1164.all;entity count_16_as isport (clk : in std_logic; count_out : out std_logic_vector(3 downto 0));end count_1..
    리포트 | 2페이지 | 1,000원 | 등록일 2004.06.25
  • 한글파일 FPGA 디지털 시스템 설계 : 4bit Shift Register 설계 및 Gated D Latch, D F/F, Reset D F/F, JK F/F 분석
    Shift Register 모듈 설계 4bit Shift Register는 4개의 D F/F를 직렬로 배치하여 같은 클럭에 따라 신호를 옮기는 역할을 한다. ... 4bit Shift Register 설계 및 Gated D Latch, D F/F, Reset D F/F, JK F/F 분석 1. ... shift Register 파형 분석 q1, q2, q3은 모듈 내부에만 존재하기 때문에 Testbench에서 생성한 4bit Shift Register인 sr4의 q1, q2,
    리포트 | 3페이지 | 1,000원 | 등록일 2012.06.18
  • 한글파일 max plus II 를 이용한 4비트 Synchronous Up-Down counter 설계
    ** 4Bits Synchronous Up-Down counter 설계 # JK FlipFlop (( Graphic Editor)) ((Waveform Editor )) # D FlipFlop
    리포트 | 3페이지 | 1,000원 | 등록일 2007.10.07
  • 한글파일 [논리회로]병렬 로드를 가지는 4bit 양방향 시프트 레지스터
    Report 주제 : 병렬 로드를 가지는 4bit 양방향 시프트 레지스터 1. ... 정의 입력된 데이터가 왼쪽 또는 오른쪽으로 시프트 될 수 있는 레지스터 - 4개의 D-FF과 4×1MUX로 구성 - 2개의 선택입력으로 ... , data4: in std_logic; sel : in std_logic_vector(1 downto 0); Output : out std_logic); end mux4x1; architecture
    리포트 | 8페이지 | 1,500원 | 등록일 2006.05.29
  • 한글파일 실험 7. FPGA를 이용한 4비트 산술논리회로의 구현 및 검증
    4비트 산술논리회로(버퍼 추가) 3. ... 실험 6에서 설계한 4비트 산술논리회로를 Xilinx FPGA 칩으로 구현하여 동작을 검증한다. ... FPGA를 이용한 4비트 산술논리회로의 구현 및 검증 목적 ; 1. MyCAD에서 합성한 회로를 FPGA로 구현하는 방법을 익힌다. 2.
    리포트 | 3페이지 | 무료 | 등록일 2006.12.20
  • 한글파일 리플카운터, 4비트 레지스터
    따라서, 플립플롭 D의 출력이 플립플 롭 A의 클럭 입력에 인가된다. 2. 4 비트 레지스터의 설계 2.1 목표 4비트 레지스터의 동작을 이해한 후, 4비트 레지스터를 스키메틱 에디터로 ... 저장하는 회로로서 원하는 시간에 출력에서 4 비트의 정보를 동시에 얻을 수 있다. 2.2.2 4 비트 레지스터의 모델링 4 비트 레지스터를 블록도로 표현하면 그림 2-1과 같다. ... 레지스터 중 간단한 구조는 D 플립플롭을 사용하여 정보를 일시적으로 저장하는 기능을 갖는 4 비트 레지스터이다. 4 비트 레지스터는 4 비트의 이진수 정보를 입력으로 받아들여 일시적으로
    리포트 | 3페이지 | 1,000원 | 등록일 2000.12.07
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업