• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,865)
  • 리포트(4,451)
  • 자기소개서(155)
  • 시험자료(129)
  • 방송통신대(93)
  • 서식(17)
  • 논문(15)
  • ppt테마(2)
  • 이력서(1)
  • 표지/속지(1)
  • 노하우(1)

"디지털시계" 검색결과 81-100 / 4,865건

  • 파워포인트파일 디지털 시계 회로 분석 ppt
    9 조 4 Digit Clock( 디지털 시계회로 ) 20083304 전현배 20093373 장현영 20093377 송동환 . 1 2 3 4 회로도 분석 목차 각 파트별 설명 각 부품
    리포트 | 11페이지 | 1,500원 | 등록일 2012.12.01
  • 한글파일 디지털공학 실험 디지털시계보고서
    과 목 명 디지털 실험 담당교수 결과보고서 [9.디지털 시계] 학과 : 학번 : 이름 : 【1. ... 관련이론】 ① 디지털시계의 구조 주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 하나이다. ... 이와 같은 디지털 시계를 설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자.
    리포트 | 11페이지 | 1,000원 | 등록일 2012.11.28
  • 파워포인트파일 디지털시계
    디지털시계 (24진) 조원 : 김효주 오세광 목 차 카운터, 디코더 및 비교기 NE 555 완성작품 고찰 24진 카운터 진리표 0 0 0 0 0 1 1 1 0 1 1 1 1 0 1
    리포트 | 14페이지 | 6,000원 | 등록일 2007.11.03
  • 한글파일 전지전자기초실험 디지털 시계 설계 실습 설계프로젝트 레포트
    전기전자 기초실험 결과보고서 제12장 설계 프로젝트 I - 디지털 시계 설계 실습 - 학과 학년 학번 분반 실험조 성명 전기전자공학 2 # 기본 개념 아무 입력도 들어오지 않으면 일반 ... 초 조절 버튼은 초를 00으로 초기화한다. # verilog code 실제로 디지털 시계를 구현할 때에는 조금 더 많은 측면을 고려해야 한다. clk가 1,000,000번 진동할 때마다 ... 상세한 설명은 주석으로 처리하였다. module digital_clock(clk, reset, inAP, oAP, inH, inM, inS, oH1, oH2, oM1, oM2, oS1
    리포트 | 6페이지 | 1,500원 | 등록일 2017.12.01
  • 한글파일 <컴퓨터공학 - 디지털공학개론> 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오. 2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.
    카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정 디지털시계의 블록 다이어그램 발진회로 ▶ 분주회로 ▶ 카운터회로 ▶ 디코더회로 ▶ 표시회로 발진회로 ? ... 디지털 시계에 안정적인 클록(Clock)을 제공 할 목적으로 설계되는 회로 분주회로 ? ... 발진회로로부터 얻어진 구형파를 이용하여 디지털시계의 기본단위인 1초를 나타내기 1Hz주파를 얻는 회로 주파수 카운터 ? 임의의 주기적인 파형의 주파수를 측정하는 디지털 기기 Ⅱ.
    방송통신대 | 5페이지 | 10,000원 | 등록일 2016.12.15
  • 파워포인트파일 디지털 시계 설계
    디지털 시계 기본원리 2. 10 진 상태표 및 논리식 3. 6 진 상태표 및 논리식 4. 3 진 상태표 및 논리식 5. 디지털 시계의 구성 6. 회로 설계도 7. 파형도 1. ... 디지털 시계 기본원리 J-K 플립플롭으로 구성된 동기식카운터를 이용하여 24시간의 시간을 나타내는 시계를 구성한다. ... 디지털 시계의 구성(3/4) 기본구성은 초의 자리 구성과 같다.
    리포트 | 15페이지 | 1,000원 | 등록일 2009.09.16
  • 한글파일 TTL IC를 이용한 디지털 시계
    실험18 TTL IC를 이용한 디지털 시계 결 과 1) 74LS390의 CKA와 Q_D 단자를 동시에 측정하고 이들의 파형을 그려라. ... TTL IC를 이용한 디지털 시계 제Ⅱ부 디지털회로 실험 및 설계 74LS390을 이용하여 10진 카운터를 구성하고 74LS390과 74LS08을 이용하여 6진 카운터를 구성한 다음 ... 시계를 제작하기위해서는 분과 초를 구현할 때 필요한 60진 카운터가 필요하다.
    리포트 | 2페이지 | 1,000원 | 등록일 2012.07.09
  • 한글파일 디지털 시계 설계 보고서
    SoC 설계 (디지털 시계) 목차 1. 서론 2. 설계 목표 3. 시계 구조 4. 검증 5. 고찰 6. 참고문헌 7. 소스 코드 1. ... 이에 가장 많이 사용되는 RISC시스템인 ARM9 Core가 사용된 Altera社의 Excaliber를 이용하는 디지털 시계를 직접 구현해 보았다. 2. ... 시계 구조 1) 시계 부분 그림 시계 회로의 회로도 module : 6진 카운터 2개 : 분주 클럭이 증가하거나 Enalbe입력이 들어갈 경우 0에서 5까지 변한다.
    리포트 | 19페이지 | 2,000원 | 등록일 2012.05.29
  • 파워포인트파일 디지털 시계 설계 발표자료
    디지털 시계 설계 목 차 1. 서론 및 설계목표 2. 설계계획 3. 설계과정 4. 작동시범 5. 결과 및 고찰 6. 참고문헌 * 1. ... 서론 및 설계 목표 Altera사의 Excalibur칩을 사용한 SoC설계및 검증 도구인 Huins사 SoC Master에 알맞은 시계를 verilog코드 작성에서부터 실제 구현까지
    리포트 | 15페이지 | 1,000원 | 등록일 2012.05.29
  • 한글파일 마이크로프로세서 프로젝트 report (8051컨트롤러를 이용한 디지털시계)
    CLOCK : 초 단위 표시의 전자시계 12시간 초 단위 표시 시계로 알람기능이 있는 디지털 전자 시계이다. 오른쪽 하단의 스위치로 값을 조정해 줄 수 있다. ... 목적 어셈블리어로 작성된 8051 컨트롤러를 이용해서 4가지 동작을 가지는 디지털 시계를 직접 구현하고 구현 알고리즘을 분석하여 사용되는 8051 컨트롤러의 명령어와 인터럽트 타이머 ... 시간 표시. 1초 마다 부져소리가 나고 250uS 마다 타이머 인터럽트가 걸려 40개의 인터럽트 걸리면 1/100초(Cent)가 된다. */ void clock_mode0{ //디지털
    리포트 | 26페이지 | 1,500원 | 등록일 2016.02.15 | 수정일 2016.06.02
  • 파워포인트파일 [디지털시계] ★디지털시계 제작★목적,부품★회로구현방법★
    Digital Clock 제작 -1- -2- 1. ... 제작할 디지털 시계 ◊ 오실레이터 1MHz 를 이용한 안정한 클럭 생성 ◊ 6 개의 세그먼트로 시 , 분 , 초 표현 ◊ 12 시 이후의 AM, PM 설정 ◊ 요일 표시 설정 가능 ... 회로 구현 및 방법 ( 현재 ) (1) 전체 회로도 클럭 스위치 시계시계시계 시 요일 , 오전 , 오후 (1) 클럭 부분 -14- -15- (2) 스위치 부분 스위치 -16
    리포트 | 23페이지 | 1,500원 | 등록일 2011.12.18
  • 한글파일 VHDL을 이용한 디지털시계설계
    디지털시계의 설계 이론 1) 전체적인 디지털시계 설계 ◇ 오전/오후, 시, 분, 초, 시간설정기능을 나타내는 디지털시계 설계. ◇ 각 카운터마다 1이 증가하는 시점이 다르기 때문에 ... 디지털시계의 설계 이론 2-1. 전체적인 디지털시계 설계 2-2. 동기식 Modulo - N 카운터 설계 2-3. 시간을 표시하기 위한 디코더 설계 2-4. ... 전체적인 디지털시계설계 소개 - VHDL 구문을 이용하여 디지털시계를 설계하는 것이며 7-Segment의 동작원리에 대해서 이해하고, VHDL을 이용한 7-Segment 제어 방법을
    리포트 | 31페이지 | 1,000원 | 등록일 2011.12.30
  • 파워포인트파일 디지털 시계 설계
    디지털 논리회로 ) Click to add Title Click to add Title Click to add Title Click to add Title Click to add Title
    리포트 | 15페이지 | 2,000원 | 등록일 2008.12.20
  • 한글파일 VHDL를 이용한 디지털 시계
    이번 실험은 디지털 시계를 구성하는 것으로 4MHz의 오실레이터 clock을 분주하여 시계를 제작해야 된다. ... 과 목 : 논리회로설계실험 과 제 명 : 디지털 시계 담당교수 : 김 종 태 학 과 : 전자전기공학 학 년 : 3 학 년 학 번 : 이 름 : 제 출 일 : 08. 05. 21 Introduction ... 초 단위 - 본 실험의 목적은 4MHz의 오실레이터 clock을 분주하여 디지털 시계를 제작하는 것으로 시간을 나타내는 세그먼트 2개, 분을 나타내는 세그먼트 2개, 초를 나타내는
    리포트 | 19페이지 | 1,000원 | 등록일 2010.05.27
  • 파일확장자 vhdl_응용논리_디지털시계
    설계 목표 VHDL으로 프로그램 작성 후 이를 알테라DE2 보드로 실현시킨다. 7-세그먼트를 통해 기본적인 시계기능을 100분의 1초를 만들어서 구현한다. ... : EP2C35F672C6N• Display : 7-Segment, LED, 스위치• Quaruts II Web Edition (2) 설계 Specification• 일반 시계
    리포트 | 18페이지 | 1,500원 | 등록일 2011.10.24
  • 파워포인트파일 디지털시계 설계
    최종설계도 디지털시계 블록도 시계는 초,분,시로 구성되어 있다. ... 위의 블록도와 같이 시계는 동작하게 되어 있다. 디지털 회로에 전원 DC 5V 와 시계의 타이머 NE555 클럭 입력을 준다. ... 목차 디지털시계의 블록도 7-segment Display 74LS47 - BCD to 7segment Decoder/Driver 동기식 모듈-N 카운터 설계 555타이머 후기 및
    리포트 | 20페이지 | 2,000원 | 등록일 2008.08.20
  • 한글파일 VDHL을 이용한 디지털시계 설계 소스코드 (추가 기능 없음)
    Library ieee;Use ieee.std_logic_1164.all;Entity clock isport(clk : in std_logic; -- 20MHz seg_com : buffer std_logic_vector(3 downto 0); seg_data : bu..
    리포트 | 3페이지 | 1,000원 | 등록일 2016.02.07 | 수정일 2016.02.12
  • 한글파일 [디지털시계]VHDL을 이용한 디지털 시계
    **디지털 시계와 stopwatch 소스 ** library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL ... then tmp_sw1 ... 10, seg => seg_10); seg_01_ten : int2seg1 port map ( int => dec_01, seg => seg_01); end Behavioral;
    리포트 | 13페이지 | 2,500원 | 등록일 2008.06.14
  • 한글파일 디지털시계(인터럽트) 결과 보고서
    디지털시계(인터럽트) □ 인터럽트 서비스 루틴이 가능한 한 짧아야 하는 이유 인터럽트는 가능한 빨리 벗어나는 것이 좋습니다.
    리포트 | 1페이지 | 2,000원 | 등록일 2012.06.26
  • 한글파일 Xilinx verilog 디지털 시계
    임베디드시스템하드웨어 (Final Project # 디지털시계(스탑워치추가)) 목 차 1. Hardware Design Spec.(동작 원리 및 표현 방식 등)1 2. ... 시계 알고리즘(SW2:ON시계모드, SW1,4:ON 시간 증가모드, SW2,4:ON 분 증가모드) 나.
    리포트 | 39페이지 | 5,000원 | 등록일 2009.12.23
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업