• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,865)
  • 리포트(4,451)
  • 자기소개서(155)
  • 시험자료(129)
  • 방송통신대(93)
  • 서식(17)
  • 논문(15)
  • ppt테마(2)
  • 이력서(1)
  • 표지/속지(1)
  • 노하우(1)

"디지털시계" 검색결과 181-200 / 4,865건

  • 파일확장자 디지털 시계 제작 보고서
    (2) 조원간의 화합 및 단결 3명의 조원이 하나의 프로젝트를 단결하여 직접 제작 하면서 눈으로만 봐오던 디지털시계의 기본 구성을 알 수 있었으며, 각자 맡은 역할이 단합되어 ... 개발 방법 (1) 회로도 및 블럭도 최초 우리 조에서 만들고자 했던 디지털 시계의 블록도 및 회로도이다.제작을 진행하면서, 10MHz 오실레이터(크리스탈칩) 부분의 이해와 ... 제작 목적 (1) 강의 내용의 이해 한 학기 동안 배운 「디지털 공학 및 실습」을 통하여 완성된 팀 프로젝트로써 최종 확인하며 점검한다.
    리포트 | 10페이지 | 4,000원 | 등록일 2007.08.20
  • 한글파일 디지털전자시계 보고서
    서론 디지털 논리 회로 프로젝트에서 기말 시험을 대신하여 Project를 진행 했다. 나는 디지털시계를 만들기 했다. ... , 지금 만들고자 하는 디지털시계는 전원만 가하면 되는 시계인 것이다. 10M Hz의 입력을 받는다고 생각을 하자. 1초로 만들기 위해서는 1/10M로 나눠야 한다. ... 디지털시계를 만들기 위해서는 1초가 필요하다 1초가 있음으로 1분과 1시가 존재하기 때문이다. 1초를 만드는 방법은 간단히 Function generator로 1Hz를 만들면 되지만
    리포트 | 14페이지 | 1,000원 | 등록일 2007.10.09
  • 한글파일 디지털 시계 설계
    디지털 시계 설계 디지털 시계 개요 주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 하나이다. ... 이와 같은 디지털시계를 설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자. ... 디지털 시계 전체 블록도 { 동기식 modulo-N 카운터 설계 동기식 순차회로와 비동기식 순차회로 순차회로는 동기식 순차회로와 비동기식 순차회로로 구분할 수 있다.
    리포트 | 15페이지 | 1,500원 | 등록일 2004.09.18
  • 한글파일 디지털 논리회로이용한 시계설계
    만약 키트의 클럭을 100HZ로 놓고 디지털 시계를 설계한다고 가정한다면 1HZ를 1 초라 하면 클럭을 100분주기로 만들어야 디지털시계를 표현할수가 있다. ... 세부적으로 디지털 시계 기능, 스탑와치 기능, 시간조절 기능을 갖는 시계를 설계해보고 그 동작과정을 알아 보았다. ... 동작이론 (1) 분주기 설계 우리가 사용하는 키트는 가장 낮은 클럭으로 조정하여도 100HZ로서 디지털 시계를 설계에 쓰기에는 너무 빠른 클럭이다.
    리포트 | 16페이지 | 2,500원 | 등록일 2008.12.02
  • 파일확장자 디지털 도어락 및 디지털 시계 및 알람(digital door lock, digital clock) -vhdl 논리설계회로
    시계모드 , 디지털 시계설정모드, 알람모드, 그리고 다른 모드들과 동시에 작동하는 음소거 모드가 있다. ... 컴포넌트로는 7segment , key입력 컴포넌트, piezo컴포넌트 dot matrix컴포넌트, lcd컴포넌트 , 디지털 시계 컴포넌트가 있으며 이들을 전부 합쳐 가장 상위의 모듈에서 ... 2.구현 방법 & 결과의 구조 및 구성모드의 구현가장 먼저 모드를 구현하는데 모드는아무것도 안하는 기능을 하는 menu모드 , 문열기 모드 , 도어락 비밀번호 설정 모드 , 디지털
    리포트 | 48페이지 | 3,000원 | 등록일 2011.06.03
  • 한글파일 논리회로 디지털시계 보고서
    1. PLD IC GAL22V10D를 이용한 Segment 출력 ? 실 험 과 정 < 7 세그먼트 진리표 > I N P U T O U T P U T W X Y Z a b c d e f g 0 0 0 0 0 1 1 1 1 1 1 0 1 0 0 0 1 0 1 1 0 0 ..
    리포트 | 6페이지 | 2,000원 | 등록일 2008.06.08
  • 한글파일 VHDL을 이용한 디지털 시계 제작
    디지털 시스템 설계 ※ PROJECT 최종 보고서 - Digital 시계 설계 ※ 목 차 ※ 1. 개 요 (1) 설계목적 (2) 계발일정 2. ... 고 찰 (1) 결과 최종적인 디지털 시계의 기본동작이 원활하게 작동되었다. ... 설계도에 따라서 제작한 후 설계 일정에 따라 Quartus II 프로그램을 이용하여 디지털 시계를 제작한다. - 공학인으로서 요구되어지는 프로젝트 설계 능력 향상에 중점을 둔다.
    리포트 | 19페이지 | 5,500원 | 등록일 2007.04.02
  • 한글파일 [디지털 시계]알테라(Altera) 를 이용한 디지털 시계 구현
    실험목적 ⇒ 디지털 시계 구현을 통해 디지털 시스템 설계 능력을 배양하자. ⇒ 규모가 있는 실제 응용회로 구현을 통해 simulation & verification의 중요성 이해. ... JK F/F을 사용하여 출력값 반전을 이용하여 시계의 멈춤 기능을 하게 된다. ... 시계의 24BITE 출력값을 모드 변환 회로의 입력값으로 넣어 4BITE의 출력값이 발생하도록 설계하였다.
    리포트 | 20페이지 | 1,000원 | 등록일 2005.11.28
  • 한글파일 [디지털설계] 디지털 시계 설계
    디지털 시계를 디자인하고 하드웨어로 구현해 보았다. ... 앞으로의 내용은 디지털 시계의 기초적인 설계에서부터 하드웨어 제작까지 일련의 과정을 상세하게 나타내었다. ... 완성된 Digital Watch97.
    리포트 | 36페이지 | 1,000원 | 등록일 2005.06.19
  • 한글파일 디지털 시계 사업 계획서
    시계에서 구현한 기능 1) 10MHz의 발진회로를 이용하여 digital 시계를 제작. 2) 시간, 분, AM/PM을 display, 요일 표시 가능. 3) 시간이 12가 될 때마다 ... 개발 내용 ■ 디지털 시계를 어떻게 만들까? □ 디지털 시계 개요 1) 개요 변에서 흔히 볼 수 있는 디지털시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 하나이다. ... - 계 획 서 - 디지털시계 ※ 목 차 ※ 1.
    리포트 | 19페이지 | 1,000원 | 등록일 2007.03.11 | 수정일 2018.07.21
  • 파워포인트파일 [디지털회로]verliog HDL을 통한 디지털 시계구현 PPT
    디지털시계 구현 1.
    리포트 | 8페이지 | 1,500원 | 등록일 2008.12.13
  • 파워포인트파일 쿼터스 VHDL을 이용한 디지털 시계 설계 결과
    이용한 디지털시계 동작원리 이해 P r o j e c t 목 표 알람 및 스탑워치설정 이 희 락  제어부분설정 박 대 근 시계부분 설정 LED부분 설정 유 진 호 역 할 분 담 ... 결과  느낀점  VHDL Source  설계 및 구현방법  개 요  우리주변에서 흔히 볼수있는 디지털 시계 구현 Quartus를 이용한 VHDL의 이해 카운터와 Decoder를 ... 2008. 12. 12(금) Design of Digital Clock 유 진 호 20051041 박 대 근 20041043 이 희 락 20041075 5조 Contents Simulation
    리포트 | 37페이지 | 2,000원 | 등록일 2009.05.22
  • 워드파일 FND 14개를 사용한 디지털 시계
    마이크로 프로세서 FND 14개를 사용한 디지털 시계 개요 디지털 시계 프로젝트를 수행하는데 있어서 가장 기본이 되는 시계를 제작한다. ... 각각의 장점을 어느 정도 수용 할 수 있는 휴대 전화나 디지털 카메라에 많이 사용되는 TFT LCD를 이용해서 디지털 시계를 개선할 예정이다. ... 타이머/카운터 1은 디지털 시계의 기준 시간으로 사용하였다. 1초를 결정하는데 있어서는 다음 공식에 따른다.
    리포트 | 6페이지 | 1,500원 | 등록일 2008.05.13
  • 파일확장자 디지털 기초설계 시계만들기 <제안서, 중간보고서 결과보고서, 회로도, 재료전부다있습니다)
    설계 목표아래의 조건들을 만족하는 디지털시계 제작을 통하여, 디지털 회로의 동작 이론 숙지와 하드웨어 시스템 설계 시 고려 사항의 적용, 전체 회로 시뮬레이션, 부품 배치와 wiring ... 등 전반적인 하드웨어 설계/제작 경험을 고취한다.* 수행 조건ⅰ) 시/분/초를 나타내는 기본적인 시계의 기능을 수행한다.ⅱ) 매초마다 깜빡이는 다이오드를 통해 시계의 동작을 확인한다
    리포트 | 2페이지 | 5,000원 | 등록일 2016.08.18
  • 파일확장자 8051을 이용한 디지털 시계 구현
    8051 keil을 이용한 디지털 시계로 다음과 같은 기능을 가지고 있습니다 (CLCD와 GLCD모두 사용하고 있습니다.
    리포트 | 26페이지 | 15,000원 | 등록일 2007.01.12
  • 파일확장자 EDA LAB-3000 적용한 디지털시계 / 스탑워치
    --- │알람 │ │ │시설정모드 │시증가 │ │ │ │분설정모드 │분증가 │ │ └───┴───────┴───────┴───┘ 실습조별 과제로 VHDL을 이용한 디지털시계를 ... SW1 │SW2 │SW3 │SW4 │ ├───┼───────┼───────┼───┤ │리셋 │시간모드 │일반모드 │시계
    리포트 | 1,500원 | 등록일 2010.11.25
  • 파일확장자 7-Segment 를 이용한 디지털 시계(디지털논리회로프로젝트)
    Purpose - Training Kit에서 지원하는 1 MHz 수정발진기의 출력 및 7-Segment를 사용한 디지털 시계의 설계. ... Approach - 기본적으로 Chapter.15에서 만들었던 Counter를 이용하여 디지털시계에 대한 접근을 하였다.
    리포트 | 9페이지 | 1,500원 | 등록일 2009.05.23
  • 파일확장자 <<AVR 스탑워치 만들기>>AVR스탑워치,초시계,디지털시계,atmega128,회로도,소스코드,동작원리,스톱워치,타이머카운터,세그먼트,segment,타이머
    ['[AVR 스탑워치 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. 목 표 AVR ATmega128을 이용하여 스탑워치(Stop Watch)를 구현해본다. 분:초:프레임(1/100) 의 구성으로 00:00:00 ~ 59:59:99 의 범위안의 ..
    리포트 | 11페이지 | 4,000원 | 등록일 2015.10.25 | 수정일 2017.04.20
  • 파일확장자 AVR ATMEGA128을 이용한 디지털 시계 설계
    이전에 설계했던 디지털 시계는 단순히 시간만 표시하였지만, 이번에 설계하는 시계는 시간 수정, 스탑 워치 등 시계에 필요한 기본적인 부가 기능을 제공함으로써 실제의 시계에 가깝도록 ... 기존의 디지털 시계에 년, 월, 일(요일), 시간(AM/PM), STOP WATCH 기능을 추가 한다.2. ... 설계 배경 및 목표- 설계 배경 한 학기 동안 학습한 AVR KIT 내의 여러 가지 입출력 장치들을 응용하여서 디지털 시계의 입출력에 응용하게 됩니다.
    리포트 | 58페이지 | 5,000원 | 등록일 2009.02.09
  • 한글파일 디지털회로 - 시계(VHDL) 사전
    시계 제출일 실험조 이름 07-12-03 -사전 보고서- ? 실험목적 이번 실험의 목적은 타이머의 동작원리를 이해하고 설계하는 것이다. ? 이론 1. 시계 ? ... 그리고 1kHz÷1000≒1Hz를 이용하여 시계를 동작시키는 기준 클럭을 만든다 ? ... 아래와 같이 디스플레이되는 시계를 계층 설계 방법을 이용해 아래 회로도와 같이 설계해본다. ? "FPGA/CPLD" 내부 블록도 설명 ?클럭 : 클럭은 1kHz를 사용한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2008.04.09
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업