• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

vhdl_응용논리_디지털시계

*시*
개인인증판매자스토어
최초 등록일
2011.10.24
최종 저작일
2011.06
18페이지/파일확장자 압축파일
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

응용논리회로 시간에 디지털시계 만든 것입니다. 쿼터스와 알테라de2 보드로 했습니다.

동작동영상 보시려면 http://www.cyworld.com/yungod86/3270715 와서 확인하세요. 이 동영상보다 더 잘됩니다. 리포트에 필요한 모든 자료를 압축해서 미리 보기가 안됩니다.

--시계메인
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

entity clock_Y is
port
(
clk : in std_logic;
reset : in std_logic;
---------------------Alarm----------------------------
alupdown_sw : in std_logic; -- sw 16 Alarm Time UP/DOWN Mode setting
almode_sw : in std_logic; -- sw 17 Alarm setting on/off Mode

almode_set_sw : in std_logic; --sw 15 Alarm on/off setting/Beep 대체
almin_con : in std_logic; -- Alarm min control
alhour_con : in std_logic; -- Alarm hour control

apm_led : buffer std_logic := '1'; --AM/PM Display
al_apm_led : buffer std_logic := '1'; -- Alarm AM/PM Setting 구별
alarm_led : buffer std_logic := '1' -- Alarm 작동 display/BEEP 대체

seg_out5 : out std_logic_vector(1 to 7); --Alarm 1자리/분
seg_out6 : out std_logic_vector(1 to 7); --Alarm 2자리/분
seg_out7 : out std_logic_vector(1 to 7); --Alarm 3자리/분
seg_out8 : out std_logic_vector(1 to 7); --Alarm 4자리/분
---------------Alarm--------------------------------------
--------------------Clock---------------------------------
mode_sw : in std_logic; -- sw1 초 and 시/분 모드 전환
set_sw : in std_logic; -- sw0 시작과 멈춤-> 시간 조절

timeup_down_mode : in std_logic; --clock up/down mode setting

hour_sw : in std_logic; --clock hour control
min_sw : in std_logic; --clock min control

seg_out1 : out std_logic_vector(1 to 7); -- clcok 1자리/분
seg_out2 : out std_logic_vector(1 to 7); -- clcok 2자리/분
seg_out3 : out std_logic_vector(1 to 7); -- clcok 3자리/시간
seg_out4 : out std_logic_vector(1 to 7); -- clcok 4자리/시간
------------------Clcok-------------------------------

);
end clock_Y;

architecture digital_clock of clock_Y is

signal almin1 : integer range 0 to 9;
signal almin2 : integer range 0 to 9;
signal alhour1 : integer range 0 to 9;
signal alhour2 : integer range 0 to 9;

signal out_almin_cnt : integer range 0 to 60;
signal out_alhour_cnt : integer range 0 to 60;

signal hour_up : std_logic_vector(1 downto 0);
signal min_up : std_logic_vector(1 downto 0);
signal sec_up : std_logic_vector(1 downto 0);

signal out_sec100_cnt : integer range 0 to 100;
signal out_sec_cnt : integer range 0 to 60;
signal out_min_cnt : integer range 0 to 600;
signal out_hour_cnt : integer range 0 to 13;

signal sec100_1 : integer range 0 to 9;
signal sec100_2 : integer range 0 to 9;
signal sec1 : integer range 0 to 9;
signal sec2 : integer range 0 to 9;
signal min1 : integer range 0 to 9;
signal min2 : integer range 0 to 9;
signal hour1 : integer range 0 to 9;
signal hour2 : integer range 0 to 9 ;

signal seg_almin1 : std_logic_vector(1 to 7);
signal seg_almin2 : std_logic_vector(1 to 7);
signal seg_alhour1 : std_logic_vector(1 to 7);
signal seg_alhour2 : std_logic_vector(1 to 7);

signal seg_sec100_1 : std_logic_vector(1 to 7);
signal seg_sec100_2 : std_logic_vector(1 to 7);
signal seg_sec1 : std_logic_vector(1 to 7);
signal seg_sec2 : std_logic_vector(1 to 7);

signal seg_min1 : std_logic_vector(1 to 7);
signal seg_min2 : std_logic_vector(1 to 7);
signal seg_hour1 : std_logic_vector(1 to 7);
signal seg_hour2 : std_logic_vector(1 to 7);

약간의 버그가 있습니다. 참고하세요

목차

없음

본문내용

1. 설계 목표

VHDL으로 프로그램 작성 후 이를 알테라DE2 보드로 실현시킨다. 7-세그먼트를 통해 기본적인 시계기능을 100분의 1초를 만들어서 구현한다. 또한 시간을 분을 나타내는 기능 위에 스위치를 누르면 100분의 1초를 구현한다.

2. 설계 내용

(1) 주요 하드웨어 구성
• Cyclone : EP2C35F672C6N
• Display : 7-Segment, LED, 스위치
• Quaruts II Web Edition

(2) 설계 Specification

• 일반 시계 기능, 시간 아래 또는 위로 조정 기능(시, 분 조정)
- SW0 : 시간 정지 후 설정 모드
- SW2 : 설정 후 KEY4, KEY3을 통해 시간, 분 위로 조정
- SW3 : 설정 후 KEY4, KEY3을 통해 시간, 분 아래로 조정
- KEY1 : 시간조정
- KEY0 : 분 조정

• 알람기능
- SW17 : Alarm 조절 모드 ON/OFF
- SW16 : Alarm 시간 위/아래 조절
- SW15 : Alarm ON/OFF
- KEY3 : Alarm 시간 조절
- KEY2 : Alarm 분 조절
- LEDG0 : Alarm 작동시 켜짐(BEEP 대체)

• 모드 선택을 통한, 시간/분 디스플레이와 초 디스플레이 가능
- SW1 : 시/분 모드와 초 모드 설정

참고 자료

<참고 문헌>
1. 이강, 조윤석 공저, {VHDL과 FPGA를 이용한 디지털시스템 설계 및 응용}. 서울:아진, 2002.
2. 강민수 외 5명, {VHDL을 활용한 디지털 회로 설계}. 서울:한올출판사. 2003

압축파일 내 파일목록

가_시계_응용리포트_6_20.hwp
시계동작사진/SV400001.JPG
시계동작사진/SV400002.JPG
시계동작사진/SV400003.JPG
시계동작사진/SV400005.JPG
시계동작사진/SV400010.AVI
시계동작사진/Thumbs.db
시계순차백업/clock_Y/alarm.vhd
시계순차백업/clock_Y/alarm.vhd.bak
시계순차백업/clock_Y/clockY.vhd.bak
시계순차백업/clock_Y/clock_Y.asm.rpt
시계순차백업/clock_Y/clock_Y.cdf
시계순차백업/clock_Y/clock_Y.done
시계순차백업/clock_Y/clock_Y.fit.rpt
시계순차백업/clock_Y/clock_Y.fit.summary
시계순차백업/clock_Y/clock_Y.flow.rpt
시계순차백업/clock_Y/clock_Y.map.rpt
시계순차백업/clock_Y/clock_Y.map.summary
시계순차백업/clock_Y/clock_Y.pin
시계순차백업/clock_Y/clock_Y.pof
시계순차백업/clock_Y/clock_Y.qpf
시계순차백업/clock_Y/clock_Y.qsf
시계순차백업/clock_Y/clock_Y.sof
시계순차백업/clock_Y/clock_Y.sta.rpt
시계순차백업/clock_Y/clock_Y.sta.summary
시계순차백업/clock_Y/clock_Y.vhd
시계순차백업/clock_Y/clock_Y.vhd.bak
시계순차백업/clock_Y/db/add_sub_1tc.tdf
시계순차백업/clock_Y/db/add_sub_2tc.tdf
시계순차백업/clock_Y/db/alt_u_div_88f.tdf
시계순차백업/clock_Y/db/clock_Y.(0).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(0).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(1).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(1).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(10).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(10).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(11).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(11).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(12).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(12).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(13).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(13).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(14).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(14).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(15).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(15).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(16).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(16).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(17).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(17).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(18).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(18).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(19).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(19).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(2).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(2).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(20).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(20).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(21).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(21).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(22).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(22).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(23).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(23).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(24).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(24).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(25).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(25).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(26).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(26).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(27).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(27).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(28).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(28).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(29).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(29).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(3).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(3).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(30).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(30).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(31).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(31).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(32).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(32).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(33).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(33).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(34).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(34).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(35).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(35).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(36).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(36).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(37).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(37).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(38).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(38).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(39).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(39).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(4).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(4).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(5).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(5).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(6).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(6).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(7).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(7).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(8).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(8).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.(9).cnf.cdb
시계순차백업/clock_Y/db/clock_Y.(9).cnf.hdb
시계순차백업/clock_Y/db/clock_Y.amm.cdb
시계순차백업/clock_Y/db/clock_Y.asm.qmsg
시계순차백업/clock_Y/db/clock_Y.asm.rdb
시계순차백업/clock_Y/db/clock_Y.asm_labs.ddb
시계순차백업/clock_Y/db/clock_Y.cbx.xml
시계순차백업/clock_Y/db/clock_Y.cmp.bpm
시계순차백업/clock_Y/db/clock_Y.cmp.cdb
시계순차백업/clock_Y/db/clock_Y.cmp.hdb
시계순차백업/clock_Y/db/clock_Y.cmp.kpt
시계순차백업/clock_Y/db/clock_Y.cmp.logdb
시계순차백업/clock_Y/db/clock_Y.cmp.rdb
시계순차백업/clock_Y/db/clock_Y.cmp0.ddb
시계순차백업/clock_Y/db/clock_Y.cmp1.ddb
시계순차백업/clock_Y/db/clock_Y.cmp_merge.kpt
시계순차백업/clock_Y/db/clock_Y.db_info
시계순차백업/clock_Y/db/clock_Y.fit.qmsg
시계순차백업/clock_Y/db/clock_Y.hier_info
시계순차백업/clock_Y/db/clock_Y.hif
시계순차백업/clock_Y/db/clock_Y.idb.cdb
시계순차백업/clock_Y/db/clock_Y.lpc.html
시계순차백업/clock_Y/db/clock_Y.lpc.rdb
시계순차백업/clock_Y/db/clock_Y.lpc.txt
시계순차백업/clock_Y/db/clock_Y.map.bpm
시계순차백업/clock_Y/db/clock_Y.map.cdb
시계순차백업/clock_Y/db/clock_Y.map.hdb
시계순차백업/clock_Y/db/clock_Y.map.kpt
시계순차백업/clock_Y/db/clock_Y.map.logdb
시계순차백업/clock_Y/db/clock_Y.map.qmsg
시계순차백업/clock_Y/db/clock_Y.map_bb.cdb
시계순차백업/clock_Y/db/clock_Y.map_bb.hdb
시계순차백업/clock_Y/db/clock_Y.map_bb.logdb
시계순차백업/clock_Y/db/clock_Y.pre_map.cdb
시계순차백업/clock_Y/db/clock_Y.pre_map.hdb
시계순차백업/clock_Y/db/clock_Y.rtlv.hdb
시계순차백업/clock_Y/db/clock_Y.rtlv_sg.cdb
시계순차백업/clock_Y/db/clock_Y.rtlv_sg_swap.cdb
시계순차백업/clock_Y/db/clock_Y.sgdiff.cdb
시계순차백업/clock_Y/db/clock_Y.sgdiff.hdb
시계순차백업/clock_Y/db/clock_Y.sld_design_entry.sci
시계순차백업/clock_Y/db/clock_Y.sld_design_entry_dsc.sci
시계순차백업/clock_Y/db/clock_Y.smart_action.txt
시계순차백업/clock_Y/db/clock_Y.sta.qmsg
시계순차백업/clock_Y/db/clock_Y.sta.rdb
시계순차백업/clock_Y/db/clock_Y.sta_cmp.6_slow.tdb
시계순차백업/clock_Y/db/clock_Y.stingray_io_sim_cache.99um_ff_1200mv_0c_fast.hsd
시계순차백업/clock_Y/db/clock_Y.stingray_io_sim_cache.99um_tt_1200mv_0c_slow.hsd
시계순차백업/clock_Y/db/clock_Y.stingray_io_sim_cache.99um_tt_1200mv_85c_slow.hsd
시계순차백업/clock_Y/db/clock_Y.syn_hier_info
시계순차백업/clock_Y/db/clock_Y.tis_db_list.ddb
시계순차백업/clock_Y/db/clock_Y.tmw_info
시계순차백업/clock_Y/db/logic_util_heursitic.dat
시계순차백업/clock_Y/db/lpm_divide_65m.tdf
시계순차백업/clock_Y/db/lpm_divide_idm.tdf
시계순차백업/clock_Y/db/prev_cmp_clock_Y.qmsg
시계순차백업/clock_Y/db/sign_div_unsign_dkh.tdf
시계순차백업/clock_Y/display_num.vhd.bak
시계순차백업/clock_Y/generate_num.vhd
시계순차백업/clock_Y/generate_num.vhd.bak
시계순차백업/clock_Y/incremental_db/compiled_partitions/clock_Y.db_info
시계순차백업/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.cmp.cdb
시계순차백업/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.cmp.dfp
시계순차백업/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.cmp.hdb
시계순차백업/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.cmp.kpt
시계순차백업/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.cmp.logdb
시계순차백업/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.cmp.rcfdb
시계순차백업/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.map.cdb
시계순차백업/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.map.dpi
시계순차백업/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.map.hbdb.cdb
시계순차백업/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.map.hbdb.hb_info
시계순차백업/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.map.hbdb.hdb
시계순차백업/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.map.hbdb.sig
시계순차백업/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.map.hdb
시계순차백업/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.map.kpt
시계순차백업/clock_Y/incremental_db/README
시계순차백업/clock_Y/seg_display.vhd
시계순차백업/clock_Y/seg_display.vhd.bak
시계순차백업/시계백백/clock_Y/.qsys_edit/filters.xml
시계순차백업/시계백백/clock_Y/.qsys_edit/preferences.xml
시계순차백업/시계백백/clock_Y/.sopc_builder/filters.xml
시계순차백업/시계백백/clock_Y/.sopc_builder/preferences.xml
시계순차백업/시계백백/clock_Y/alarm.vhd
시계순차백업/시계백백/clock_Y/alarm.vhd.bak
시계순차백업/시계백백/clock_Y/clockY.vhd.bak
시계순차백업/시계백백/clock_Y/clock_Y.asm.rpt
시계순차백업/시계백백/clock_Y/clock_Y.cdf
시계순차백업/시계백백/clock_Y/clock_Y.done
시계순차백업/시계백백/clock_Y/clock_Y.drc.rpt
시계순차백업/시계백백/clock_Y/clock_Y.fit.rpt
시계순차백업/시계백백/clock_Y/clock_Y.fit.summary
시계순차백업/시계백백/clock_Y/clock_Y.flow.rpt
시계순차백업/시계백백/clock_Y/clock_Y.map.rpt
시계순차백업/시계백백/clock_Y/clock_Y.map.summary
시계순차백업/시계백백/clock_Y/clock_Y.pin
시계순차백업/시계백백/clock_Y/clock_Y.pof
시계순차백업/시계백백/clock_Y/clock_Y.pow.rpt
시계순차백업/시계백백/clock_Y/clock_Y.pow.summary
시계순차백업/시계백백/clock_Y/clock_Y.qpf
시계순차백업/시계백백/clock_Y/clock_Y.qsf
시계순차백업/시계백백/clock_Y/clock_Y.sdc
시계순차백업/시계백백/clock_Y/clock_Y.sof
시계순차백업/시계백백/clock_Y/clock_Y.sta.rpt
시계순차백업/시계백백/clock_Y/clock_Y.sta.summary
시계순차백업/시계백백/clock_Y/clock_Y.tis_db_list.ddb
시계순차백업/시계백백/clock_Y/clock_Y.vhd
시계순차백업/시계백백/clock_Y/clock_Y.vhd.bak
시계순차백업/시계백백/clock_Y/db/add_sub_1tc.tdf
시계순차백업/시계백백/clock_Y/db/add_sub_2tc.tdf
시계순차백업/시계백백/clock_Y/db/alt_u_div_88f.tdf
시계순차백업/시계백백/clock_Y/db/clock_Y.(0).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(0).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(1).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(1).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(10).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(10).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(11).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(11).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(12).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(12).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(13).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(13).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(14).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(14).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(15).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(15).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(16).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(16).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(17).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(17).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(18).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(18).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(19).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(19).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(2).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(2).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(20).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(20).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(21).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(21).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(22).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(22).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(23).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(23).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(24).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(24).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(25).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(25).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(26).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(26).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(27).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(27).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(28).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(28).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(29).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(29).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(3).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(3).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(30).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(30).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(31).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(31).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(32).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(32).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(33).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(33).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(34).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(34).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(35).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(35).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(36).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(36).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(37).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(37).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(38).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(38).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(39).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(39).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(4).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(4).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(40).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(40).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(41).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(41).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(42).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(42).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(43).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(43).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(44).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(44).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(45).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(45).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(46).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(46).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(47).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(47).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(48).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(48).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(49).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(49).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(5).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(5).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(6).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(6).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(7).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(7).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(8).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(8).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(9).cnf.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.(9).cnf.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.ace_cmp.bpm
시계순차백업/시계백백/clock_Y/db/clock_Y.ace_cmp.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.ace_cmp.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.amm.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.asm.qmsg
시계순차백업/시계백백/clock_Y/db/clock_Y.asm.rdb
시계순차백업/시계백백/clock_Y/db/clock_Y.asm_labs.ddb
시계순차백업/시계백백/clock_Y/db/clock_Y.atom.rvd
시계순차백업/시계백백/clock_Y/db/clock_Y.cbx.xml
시계순차백업/시계백백/clock_Y/db/clock_Y.cmp.bpm
시계순차백업/시계백백/clock_Y/db/clock_Y.cmp.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.cmp.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.cmp.kpt
시계순차백업/시계백백/clock_Y/db/clock_Y.cmp.logdb
시계순차백업/시계백백/clock_Y/db/clock_Y.cmp.rdb
시계순차백업/시계백백/clock_Y/db/clock_Y.cmp0.ddb
시계순차백업/시계백백/clock_Y/db/clock_Y.cmp1.ddb
시계순차백업/시계백백/clock_Y/db/clock_Y.cmp_merge.kpt
시계순차백업/시계백백/clock_Y/db/clock_Y.db_info
시계순차백업/시계백백/clock_Y/db/clock_Y.eco.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.fit.qmsg
시계순차백업/시계백백/clock_Y/db/clock_Y.hier_info
시계순차백업/시계백백/clock_Y/db/clock_Y.hif
시계순차백업/시계백백/clock_Y/db/clock_Y.idb.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.lpc.html
시계순차백업/시계백백/clock_Y/db/clock_Y.lpc.rdb
시계순차백업/시계백백/clock_Y/db/clock_Y.lpc.txt
시계순차백업/시계백백/clock_Y/db/clock_Y.map.bpm
시계순차백업/시계백백/clock_Y/db/clock_Y.map.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.map.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.map.kpt
시계순차백업/시계백백/clock_Y/db/clock_Y.map.logdb
시계순차백업/시계백백/clock_Y/db/clock_Y.map.qmsg
시계순차백업/시계백백/clock_Y/db/clock_Y.map_bb.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.map_bb.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.map_bb.logdb
시계순차백업/시계백백/clock_Y/db/clock_Y.pow.qmsg
시계순차백업/시계백백/clock_Y/db/clock_Y.pre_map.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.pre_map.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.rpp.qmsg
시계순차백업/시계백백/clock_Y/db/clock_Y.rtlv.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.rtlv_sg.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.rtlv_sg_swap.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.sgate.rvd
시계순차백업/시계백백/clock_Y/db/clock_Y.sgate_sm.rvd
시계순차백업/시계백백/clock_Y/db/clock_Y.sgdiff.cdb
시계순차백업/시계백백/clock_Y/db/clock_Y.sgdiff.hdb
시계순차백업/시계백백/clock_Y/db/clock_Y.sld_design_entry.sci
시계순차백업/시계백백/clock_Y/db/clock_Y.sld_design_entry_dsc.sci
시계순차백업/시계백백/clock_Y/db/clock_Y.smart_action.txt
시계순차백업/시계백백/clock_Y/db/clock_Y.sta.qmsg
시계순차백업/시계백백/clock_Y/db/clock_Y.sta.rdb
시계순차백업/시계백백/clock_Y/db/clock_Y.sta_cmp.6_slow.tdb
시계순차백업/시계백백/clock_Y/db/clock_Y.syn_hier_info
시계순차백업/시계백백/clock_Y/db/clock_Y.tan.qmsg
시계순차백업/시계백백/clock_Y/db/clock_Y.tis_db_list.ddb
시계순차백업/시계백백/clock_Y/db/clock_Y.tmw_info
시계순차백업/시계백백/clock_Y/db/logic_util_heursitic.dat
시계순차백업/시계백백/clock_Y/db/lpm_divide_65m.tdf
시계순차백업/시계백백/clock_Y/db/lpm_divide_idm.tdf
시계순차백업/시계백백/clock_Y/db/prev_cmp_clock_Y.qmsg
시계순차백업/시계백백/clock_Y/db/sign_div_unsign_dkh.tdf
시계순차백업/시계백백/clock_Y/display_num.vhd.bak
시계순차백업/시계백백/clock_Y/generate_num.vhd
시계순차백업/시계백백/clock_Y/generate_num.vhd.bak
시계순차백업/시계백백/clock_Y/incremental_db/compiled_partitions/clock_Y.db_info
시계순차백업/시계백백/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.cmp.cdb
시계순차백업/시계백백/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.cmp.dfp
시계순차백업/시계백백/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.cmp.hdb
시계순차백업/시계백백/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.cmp.kpt
시계순차백업/시계백백/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.cmp.logdb
시계순차백업/시계백백/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.cmp.rcfdb
시계순차백업/시계백백/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.map.cdb
시계순차백업/시계백백/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.map.dpi
시계순차백업/시계백백/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.map.hbdb.cdb
시계순차백업/시계백백/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.map.hbdb.hb_info
시계순차백업/시계백백/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.map.hbdb.hdb
시계순차백업/시계백백/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.map.hbdb.sig
시계순차백업/시계백백/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.map.hdb
시계순차백업/시계백백/clock_Y/incremental_db/compiled_partitions/clock_Y.root_partition.map.kpt
시계순차백업/시계백백/clock_Y/incremental_db/README
시계순차백업/시계백백/clock_Y/mode.vhd
시계순차백업/시계백백/clock_Y/mode.vhd.bak
시계순차백업/시계백백/clock_Y/seg_display.vhd
시계순차백업/시계백백/clock_Y/seg_display.vhd.bak
시계순차백업/시계백백/clock_Y/sopc_builder_log.txt
시계순차백업/시계백백/clock_Y.zip
시계순차백업/시계백백/clock_Y_6_12/.qsys_edit/filters.xml
시계순차백업/시계백백/clock_Y_6_12/.qsys_edit/preferences.xml
시계순차백업/시계백백/clock_Y_6_12/.sopc_builder/filters.xml
시계순차백업/시계백백/clock_Y_6_12/.sopc_builder/preferences.xml
시계순차백업/시계백백/clock_Y_6_12/alarm.vhd
시계순차백업/시계백백/clock_Y_6_12/alarm.vhd.bak
시계순차백업/시계백백/clock_Y_6_12/clockY.vhd.bak
시계순차백업/시계백백/clock_Y_6_12/clock_Y.asm.rpt
시계순차백업/시계백백/clock_Y_6_12/clock_Y.cdf
시계순차백업/시계백백/clock_Y_6_12/clock_Y.done
시계순차백업/시계백백/clock_Y_6_12/clock_Y.drc.rpt
시계순차백업/시계백백/clock_Y_6_12/clock_Y.fit.rpt
시계순차백업/시계백백/clock_Y_6_12/clock_Y.fit.summary
시계순차백업/시계백백/clock_Y_6_12/clock_Y.flow.rpt
시계순차백업/시계백백/clock_Y_6_12/clock_Y.map.rpt
시계순차백업/시계백백/clock_Y_6_12/clock_Y.map.summary
시계순차백업/시계백백/clock_Y_6_12/clock_Y.pin
시계순차백업/시계백백/clock_Y_6_12/clock_Y.pof
시계순차백업/시계백백/clock_Y_6_12/clock_Y.pow.rpt
시계순차백업/시계백백/clock_Y_6_12/clock_Y.pow.summary
시계순차백업/시계백백/clock_Y_6_12/clock_Y.qpf
시계순차백업/시계백백/clock_Y_6_12/clock_Y.qsf
시계순차백업/시계백백/clock_Y_6_12/clock_Y.sof
시계순차백업/시계백백/clock_Y_6_12/clock_Y.sta.rpt
시계순차백업/시계백백/clock_Y_6_12/clock_Y.sta.summary
시계순차백업/시계백백/clock_Y_6_12/clock_Y.vhd
시계순차백업/시계백백/clock_Y_6_12/clock_Y.vhd.bak
시계순차백업/시계백백/clock_Y_6_12/db/add_sub_1tc.tdf
시계순차백업/시계백백/clock_Y_6_12/db/add_sub_2tc.tdf
시계순차백업/시계백백/clock_Y_6_12/db/alt_u_div_88f.tdf
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(0).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(0).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(1).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(1).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(10).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(10).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(11).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(11).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(12).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(12).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(13).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(13).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(14).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(14).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(15).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(15).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(16).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(16).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(17).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(17).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(18).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(18).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(19).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(19).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(2).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(2).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(20).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(20).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(21).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(21).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(22).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(22).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(23).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(23).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(24).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(24).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(25).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(25).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(26).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(26).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(27).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(27).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(28).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(28).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(3).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(3).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(4).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(4).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(5).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(5).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(6).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(6).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(7).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(7).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(8).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(8).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(9).cnf.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.(9).cnf.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.ace_cmp.bpm
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.ace_cmp.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.ace_cmp.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.amm.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.asm.qmsg
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.asm.rdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.asm_labs.ddb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.atom.rvd
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.cbx.xml
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.cmp.bpm
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.cmp.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.cmp.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.cmp.kpt
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.cmp.logdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.cmp.rdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.cmp0.ddb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.cmp1.ddb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.cmp_merge.kpt
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.db_info
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.eco.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.fit.qmsg
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.hier_info
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.hif
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.idb.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.lpc.html
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.lpc.rdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.lpc.txt
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.map.bpm
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.map.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.map.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.map.kpt
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.map.logdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.map.qmsg
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.map_bb.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.map_bb.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.map_bb.logdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.pow.qmsg
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.pre_map.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.pre_map.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.rpp.qmsg
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.rtlv.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.rtlv_sg.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.rtlv_sg_swap.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.sgate.rvd
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.sgate_sm.rvd
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.sgdiff.cdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.sgdiff.hdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.sld_design_entry.sci
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.sld_design_entry_dsc.sci
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.smart_action.txt
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.sta.qmsg
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.sta.rdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.sta_cmp.6_slow.tdb
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.syn_hier_info
시계순차백업/시계백백/clock_Y_6_12/db/clock_Y.tis_db_list.ddb
시계순차백업/시계백백/clock_Y_6_12/db/logic_util_heursitic.dat
시계순차백업/시계백백/clock_Y_6_12/db/lpm_divide_65m.tdf
시계순차백업/시계백백/clock_Y_6_12/db/lpm_divide_idm.tdf
시계순차백업/시계백백/clock_Y_6_12/db/prev_cmp_clock_Y.qmsg
시계순차백업/시계백백/clock_Y_6_12/db/sign_div_unsign_dkh.tdf
시계순차백업/시계백백/clock_Y_6_12/display_num.vhd.bak
시계순차백업/시계백백/clock_Y_6_12/generate_num.vhd
시계순차백업/시계백백/clock_Y_6_12/generate_num.vhd.bak
시계순차백업/시계백백/clock_Y_6_12/incremental_db/compiled_partitions/clock_Y.db_info
시계순차백업/시계백백/clock_Y_6_12/incremental_db/compiled_partitions/clock_Y.root_partition.cmp.cdb
시계순차백업/시계백백/clock_Y_6_12/incremental_db/compiled_partitions/clock_Y.root_partition.cmp.dfp
시계순차백업/시계백백/clock_Y_6_12/incremental_db/compiled_partitions/clock_Y.root_partition.cmp.hdb
시계순차백업/시계백백/clock_Y_6_12/incremental_db/compiled_partitions/clock_Y.root_partition.cmp.kpt
시계순차백업/시계백백/clock_Y_6_12/incremental_db/compiled_partitions/clock_Y.root_partition.cmp.logdb
시계순차백업/시계백백/clock_Y_6_12/incremental_db/compiled_partitions/clock_Y.root_partition.cmp.rcfdb
시계순차백업/시계백백/clock_Y_6_12/incremental_db/compiled_partitions/clock_Y.root_partition.map.cdb
시계순차백업/시계백백/clock_Y_6_12/incremental_db/compiled_partitions/clock_Y.root_partition.map.dpi
시계순차백업/시계백백/clock_Y_6_12/incremental_db/compiled_partitions/clock_Y.root_partition.map.hbdb.cdb
시계순차백업/시계백백/clock_Y_6_12/incremental_db/compiled_partitions/clock_Y.root_partition.map.hbdb.hb_info
시계순차백업/시계백백/clock_Y_6_12/incremental_db/compiled_partitions/clock_Y.root_partition.map.hbdb.hdb
시계순차백업/시계백백/clock_Y_6_12/incremental_db/compiled_partitions/clock_Y.root_partition.map.hbdb.sig
시계순차백업/시계백백/clock_Y_6_12/incremental_db/compiled_partitions/clock_Y.root_partition.map.hdb
시계순차백업/시계백백/clock_Y_6_12/incremental_db/compiled_partitions/clock_Y.root_partition.map.kpt
시계순차백업/시계백백/clock_Y_6_12/incremental_db/README
시계순차백업/시계백백/clock_Y_6_12/seg_display.vhd
시계순차백업/시계백백/clock_Y_6_12/seg_display.vhd.bak
시계순차백업/시계백백/clock_Y_6_12/sopc_builder_log.txt
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/.qsys_edit/filters.xml
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/.qsys_edit/preferences.xml
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/.sopc_builder/filters.xml
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/.sopc_builder/preferences.xml
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/alarm.vhd
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/alarm.vhd.bak
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clockY.vhd.bak
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.asm.rpt
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.cdf
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.done
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.drc.rpt
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.fit.rpt
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.fit.summary
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.flow.rpt
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.map.rpt
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.map.summary
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.pin
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.pof
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.pow.rpt
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.pow.summary
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.qpf
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.qsf
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.sdc
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.sof
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.sta.rpt
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.sta.summary
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.tis_db_list.ddb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.vhd
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/clock_Y.vhd.bak
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/add_sub_1tc.tdf
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/add_sub_2tc.tdf
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/alt_u_div_88f.tdf
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(0).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(0).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(1).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(1).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(10).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(10).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(11).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(11).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(12).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(12).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(13).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(13).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(14).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(14).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(15).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(15).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(16).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(16).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(17).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(17).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(18).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(18).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(19).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(19).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(2).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(2).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(20).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(20).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(21).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(21).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(22).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(22).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(23).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(23).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(24).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(24).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(25).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(25).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(26).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(26).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(27).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(27).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(28).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(28).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(29).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(29).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(3).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(3).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(30).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(30).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(31).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(31).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(32).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(32).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(33).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(33).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(34).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(34).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(35).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(35).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(36).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(36).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(37).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(37).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(38).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(38).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(39).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(39).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(4).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(4).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(40).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(40).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(41).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(41).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(42).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(42).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(43).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(43).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(44).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(44).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(45).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(45).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(46).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(46).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(47).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(47).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(48).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(48).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(49).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(49).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(5).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(5).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(50).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(50).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(51).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(51).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(52).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(52).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(53).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(53).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(54).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(54).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(55).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(55).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(56).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(56).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(57).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(57).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(58).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(58).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(59).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(59).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(6).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(6).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(60).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(60).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(61).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(61).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(62).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(62).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(63).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(63).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(64).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(64).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(65).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(65).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(66).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(66).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(67).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(67).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(68).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(68).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(69).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(69).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(7).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(7).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(70).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(70).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(8).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(8).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(9).cnf.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.(9).cnf.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.ace_cmp.bpm
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.ace_cmp.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.ace_cmp.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.amm.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.asm.qmsg
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.asm.rdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.asm_labs.ddb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.atom.rvd
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.cbx.xml
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.cmp.bpm
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.cmp.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.cmp.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.cmp.kpt
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.cmp.logdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.cmp.rdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.cmp0.ddb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.cmp1.ddb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.cmp_merge.kpt
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.db_info
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.eco.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.fit.qmsg
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.hier_info
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.hif
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.idb.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.lpc.html
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.lpc.rdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.lpc.txt
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.map.bpm
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.map.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.map.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.map.kpt
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.map.logdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.map.qmsg
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.map_bb.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.map_bb.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.map_bb.logdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.pow.qmsg
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.pre_map.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.pre_map.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.rpp.qmsg
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.rtlv.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.rtlv_sg.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.rtlv_sg_swap.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.sgate.rvd
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.sgate_sm.rvd
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.sgdiff.cdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.sgdiff.hdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.sld_design_entry.sci
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.sld_design_entry_dsc.sci
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.smart_action.txt
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.sta.qmsg
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.sta.rdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.sta_cmp.6_slow.tdb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.syn_hier_info
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.tan.qmsg
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.tis_db_list.ddb
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/clock_Y.tmw_info
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/logic_util_heursitic.dat
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/lpm_divide_65m.tdf
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/lpm_divide_idm.tdf
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/prev_cmp_clock_Y.qmsg
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/db/sign_div_unsign_dkh.tdf
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/display_num.vhd.bak
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/generate_num.vhd
시계순차백업/시계백백/clock_Y_6_17_12시59분다음에PM1시 11시59분다음에 AM0000/generate_num.vhd.bak
시계순
*시*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 [전기실험]디지털 공학 실험 레포트 1장(문제풀이) 5페이지
    PAL, GAL, SPLD, VHLD, CPLD, AHDL, FPGA , VHDL ... 컴퓨터는 모두 디지털이다. 1-2절 2진 숫자, 논리 레벨과 디지털 파형 ... Simple Programmable Logic Device의 약자로, 소규모의 응용
  • 한글파일 [논실]예비11, 200820126, 안효중, 정주익, 4조 3페이지
    회로 구성) VHDL 언어를 이용하여 간단한 초시계를 만들어 본다. ... 간단한 VHDL 문법을 이용해 초시계에 대한 회로를 구성할 수 있을 것이다 ... 기술의 영향력 이해: 기술과 기술의 적절한 응용 및 잠재적 영향에 대한 이해를
  • 한글파일 응용논리회로 텀프로젝트 제안서 4페이지
    응용논리설계 및 실습 Final Term Project (디지털 시계 설계 ... 또한 지금까지 응용논리회로설계 수업 시간에 배운 다양한 디지털 회로 및 소자의 ... VHDL표현을 가장 잘 활용 할 수 있는 것 중 하나가 디지털 시계제작이라고
  • 한글파일 [9주차] DIGITAL CLOCK 13페이지
    과 목 : 논리회로설계실험 과 제 명 : Digital Clock 설계 ... 설계 교육 및 마이크로 프로세서 응용 교육을 보다 쉽고 효과적으로 실습할 ... 표시가 가능하다. 7세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계
  • 한글파일 [전기전자전파공학전공]VHDL으로 디지털 시계 만들기 - 카운터 11페이지
    디지털 시계 개요 주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 ... 논리회로 년도 / 학기 2005/2 교과목 코드 51956 담 당 교 수 ... ) Design Project #3에서 만든 12진 카운터와 이를 변형 응용
더보기
최근 본 자료더보기
탑툰 이벤트
vhdl_응용논리_디지털시계
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업