• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,865)
  • 리포트(4,451)
  • 자기소개서(155)
  • 시험자료(129)
  • 방송통신대(93)
  • 서식(17)
  • 논문(15)
  • ppt테마(2)
  • 이력서(1)
  • 표지/속지(1)
  • 노하우(1)

"디지털시계" 검색결과 41-60 / 4,865건

  • 한글파일 디지털 시계 실제로 구현하기
    의 텀프로젝트 주제는 ‘디지털 시계’입니다. ... 프로 젝트 소 개 주제 디지털 시계 기능 시계 기능 알람 기능 AM/PM 표시 기능 12시/24시 선택 기능 10조 ‘지금 몇 시계~?’ ... 고찰(문제 원인 분석 및 해결) 이번 텀프로젝트에서 ‘디지털 회로 및 실습’ 수업에서 배운 지식을 토대로 ‘디지털 시계’를 만들고자 했습니다.
    리포트 | 12페이지 | 20,000원 | 등록일 2016.05.20 | 수정일 2021.05.30
  • 파워포인트파일 디지털시계 ppt
    디지털 시계 개요 프로젝트개요 회로도 부품 / 준비물 디지털시계제작 고찰 프로젝트 개요 디지털시계를 제작함으로서 회로구성의 용이성 , 범용성 등의 장점을 직접 체험할 수 있으며 지금까지 ... 디지털시계를 만들고 .. ... 12 진 카운터 12 진 디코더 디 지털시계 제작 2 진 카운터 2 진 디코더 J EDEC 값 입력 디 지털시계 제작 프로테우스 시뮬레이션 완성된 디지털시계 완성된 디지털시계 동영상
    리포트 | 19페이지 | 1,500원 | 등록일 2012.12.10
  • 파워포인트파일 디지탈시계 디자인
    decoder Digital Clock display 시간 세팅 모드 목적 및 개요 디지털 시계는 카운터를 이용해 설계할 수 있는 순차회로의 하나이다. ... 시계에 대한 전반적인 공부를 하고 어떻게 설계할지 각자 구상해오기로 함 두번째 모임(11. 17) 각자 생각해온 디지털 시계를 설계하는 방법에 대해 토의하고 각자 역할분담을 하여 ... 디지털 시계를 설계하기 위해서 시간 표시를 위한 7-세그먼트 표시기와 MOD-3, MOD-6, MOD-10 카운터 등이 필요하다.
    리포트 | 19페이지 | 1,000원 | 등록일 2012.12.04 | 수정일 2021.11.19
  • 파일확장자 다용도 디지털시계
    제목-> 다용도 디지털시계 구현.2. 목적-> 배운 것과 여러 자료를 기반으로 하여 기능이 다양한 시계를 구현해 본다.3. ... 디지털 시계의 기능 설명 입력: CLK : 외부에서 제공하는 시스템 클럭 SW1, SW2 : 각종 모드 설정 스위치 (SW1: 시간, 날짜, 타이머, 알람모드 설정 ... 세분화된 기능 설명 1) 시간모드 -디지털 시계의 초기 모드. -초기상태 00 시 00 분 00 초를 가짐. -시스템 클럭에 의한 자동으로 시간 증가 .
    리포트 | 18페이지 | 5,000원 | 등록일 2012.11.29 | 수정일 2017.04.14
  • 파일확장자 [텀프로젝트] 디지털시계, 디지털 스탑워치, 디지털 타이머 (회로, 시뮬레이션, 소자, 구동원리, PPT)
    발진회로• 이 회로는 100Hz 대신 110Hz의 주파수를 클럭에 사용하는 회로입니다. 회로 상에서는 주파수에 손실이 일어날 수 있어 계산하여 10Hz를 추가하였다. 실제 기판에서는 56K에 해당하는 저항을 100K 가변저항으로 대체함으로써 이를 보완하였다. • 핀 5..
    리포트 | 31페이지 | 9,900원 | 등록일 2019.04.05 | 수정일 2022.04.10
  • 파워포인트파일 디지털시계
    설계 목표 수업시간에 배운 Flip Flop, MODn 진카운터를 이용하여 시간 , 분 , 초와 오전 오후 까지 표시되는 디지털 시계를 제작한다 . ... 디지털 시계 제작 - 목 차 - 1. 설계목표 2. 전체 시스템 개요 3. Counter 4. Flip Flop 5. 블록별 회로설계 6. Timing Diagram 1. ... 시스템 개요 24 시간의 시간을 나타내는 시계를 구성 D F/F 으로 구성된 동기식 카운터를 이용 시 , 분 , 초를 나타내는 각 7- 세그먼트를 두 개씩 이용하여 십의 자리수와
    리포트 | 26페이지 | 2,000원 | 등록일 2010.11.19
  • 한글파일 디지털시계
    VCC 16, GND 8) ● 74LS138 (VCC 16, GND 8) ● 74LS08 (VCC 14, GND 7) ● 74LS93 (VCC 5, GND 10) ■ 전원 5V 본 시계
    리포트 | 2페이지 | 3,000원 | 등록일 2010.11.07
  • 한글파일 디지털시계
    ● 74LS04 (VCC 16, GND 8) ● 74LS86 (VCC 14, GND 7) ● 74LS390 (VCC 16, GND 8) ● 74LS90 (VCC 5, GND 10) ● 74LS47 (VCC 16, GND 8) ● 74LS138 (VCC 16, GND ..
    리포트 | 1페이지 | 3,000원 | 등록일 2010.11.07
  • 파일확장자 디지털시계
    디지털시계 회로도, 카르노맵, 부울식 포함된 자료입니다.
    리포트 | 5페이지 | 5,000원 | 등록일 2010.11.07
  • 한글파일 디지털시계
    1. 7 세그먼트 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자로써 표시기는 막대모양의 LED 7개를 8자 모양으로 배열시키고 각 LED에 불을 켜거나 끔으로서 10진 숫자를 표시하도록 되어 있다. 여기서 막대 모양의 LED 하..
    리포트 | 5페이지 | 3,000원 | 등록일 2010.11.07
  • 한글파일 팀 프로젝트 디지털시계 설계 및 구현
    디지털시계에는 7세그먼트를 여러개 사용하는데 각 세그먼트마다 저항을 일곱개씩 달아줘야해서 조금 번거로웠다. ... 기판 2개를 빈 공간 없이 사용했던 것과 달리 디지털 손목시계는 그 작은 공간에 기판의 내용이 모두 들었다고 생각하니 놀라웠다. ... 우리조는 디지털시계를 만들기로 했는데 부품이 모두 도착하질 여분의 기반 등 필요한 부품들을 구입했다. 이후 본격적인 회로구성 작업에 들어갔다.
    리포트 | 11페이지 | 2,500원 | 등록일 2017.10.21 | 수정일 2017.12.27
  • 한글파일 디지털공학실험(디지털시계프로젝트) A+받은 자료입니다
    1.디지털시계의 회로도 & 구성 원리 ? 디지털시계 회로도 ?디지털시계 구성도 ? 디지털시계는 위와 같은 회로도와 구성도로 작동이 된다. 진행과정을 살펴보면, ? ... 디지털시계의 전체적인 과정 재확인 ? ... 이번 디지털 시계 프로젝트에서는 Common-Cathode Type을 이용해서, 연결할 BCD Decorder를 74ls48을 사용한다.
    리포트 | 14페이지 | 5,000원 | 등록일 2018.09.16 | 수정일 2020.12.22
  • 파일확장자 자바로 만든 디지털시계
    자바로 만든 디지털시계입니다.
    리포트 | 1,000원 | 등록일 2014.01.11 | 수정일 2014.10.13
  • 한글파일 디지털시계 만들기 회로도
    설계목적 1 / 18 (1) 그 동안 학습했던 내용을 토대로 디지털 시계를 설계 (2) 디지털 응용회로 설계에 대한 개념 정립 및 설계 절차 학습. 2. ... 이와 같은 디지털 시계를 설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자. ... 이 론 주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 하나이다.
    리포트 | 18페이지 | 2,000원 | 등록일 2015.01.22
  • 한글파일 디지털시계
    .all; entity st_watch is port (clk : in std_logic;--1kHz 클럭주파수 mode : in integer range 4 downto 0;--시계의 ... mode 신호 추가 출력 1/100초 단위로 출력되는 2자리숫자와 초단위의 2자리 숫자 4개(4-digit7segmentdisplay1모듈) 이용하여 구현 ? ... ; end if; end process; hour
    리포트 | 8페이지 | 1,000원 | 등록일 2009.12.27
  • 한글파일 VHDL을 이용한 디지털 시계 설계
    does 디지털 시계 ● 시, 분 및 초를 표시하는 디지털 시계 ■ 초 ● 입력 클럭을 분주하여 1초 단위로 상승 ● 59초에서 60초로 넘어 갈 때 1분 카운트를 발생, 초는 00으로 ... 이번 실습 시간을 통해 디지털 시계의 원리를 이해하였으며, 시계 작성에도 컴퓨터 코딩이 필요한 것을 알게 되었다. 마지막 실습 까지 도와주신 조교님들 수고하셨습니다. ... 해결방법 ■ 디지털 시계 구성 ● 4MHz의 오실레이터 clock을 분주하여 시계제작 process 내부를 살펴보면 , process ( reset, clk ) 는 Asynchronous
    리포트 | 20페이지 | 3,000원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • 한글파일 VHDL을 이용한 디지털시계
    설계 절차 ① 디지털 시계의 설계 사양 결정 ㉠ 디지털 시계의 설계 과정 블럭도 ㉡ 디지털 시계의 기능 설명 입력: CLK : 외부에서 제공하는 시스템 클럭 SW1, SW2 : 각종 ... 제목 디지털 시계 구현 2. 목적 지금까지 배운 것을 기반으로 하여 기능이 다양한 시계를 구현해 본다. 3. ... 알람이 울릴때, LED에 불이 들어온다. ② 디지털 시계의 블럭 다이어그램 전체 블럭도 ③ 블럭별로 본 기능 각 블럭의 이름 기 능 DIV_CK 입력 100Hz를 만들어 주는 블럭
    리포트 | 25페이지 | 7,000원 | 등록일 2013.07.04 | 수정일 2017.04.14
  • 한글파일 졸업논문_VHDL을 이용한 디지털 시계구현
    學士學位 請求論文 VHDL을 이용한 디지털시계 설계 (Design of a Digital Clock using VHDL) 2007年 11月 20日 崇實大學校 IT大學 情報通信電子工學部 ... 金 應 日 學士學位 請求論文 VHDL을 이용한 디지털시계 설계 (Design of a Digital Clock using VHDL) 指導敎授 : 宋 仁 彩 이 論文을 學士學位 論文 ... 金 應 日 學士學位 請求論文 VHDL을 이용한 디지털시계 설계 (Design of a Digital Clock using VHDL) 2007年 11月 20日 崇實大學校 IT大學 情報通信電子工學部
    논문 | 62페이지 | 4,000원 | 등록일 2010.12.21
  • 한글파일 디지털 시계
    디지털 시계 기본원리 J-K 플립플롭으로 구성된 동기식카운터를 이용하여 24시간의 시간을 나타내는 시계를 구성한다. ... 보 고 서 (디지털 시계 제작) 과 목 명 디지털 시스템 설계 담당교수 류 욱 재 교수님 제출일자 2005년 6월 21일 제 출 자 소속 컴퓨터 공학과(2분반3조) 이름 학번 서효범 ... 디지털 시계의 구성 ● 60진법을 사용하여 초와 분을 표현 ● 24진법을 사용하여 시를 표현 ● 7-SEGMENT 표시를 위하여 일의 자리와 십의 자리수를 나누어 JK F/F을 구성한다
    리포트 | 6페이지 | 1,000원 | 등록일 2009.09.16
  • 파일확장자 Quartus digital clock project 쿼터스 디지털 시계 프로젝트 A+
    디지털 회로 설계 실험 수업에서 A+ 받은 자료입니다.쿼터스로 디지털 시계 설계했고 모델심으로 확인도 가능합니다.한학기동안 진행했던 프로젝트로 DE2 보드에서 정확히 돌아갑니다.편한
    리포트 | 10,000원 | 등록일 2017.06.12 | 수정일 2021.04.22
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업