• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,865)
  • 리포트(4,451)
  • 자기소개서(155)
  • 시험자료(129)
  • 방송통신대(93)
  • 서식(17)
  • 논문(15)
  • ppt테마(2)
  • 이력서(1)
  • 표지/속지(1)
  • 노하우(1)

"디지털시계" 검색결과 101-120 / 4,865건

  • 한글파일 디지털시계(인터럽트) 예비 보고서
    디지털시계(인터럽트) □ 인터럽트, 8051의 인터럽트 종류와 우선순위 인터럽트는 컴퓨터에 장착된 장치나 컴퓨터 내의 프로그램으로부터 오는 신호로서, 운영체제가 하던 일을 멈추고 다음에 ... IT0 - 외부 인터럽트0 트리거 신호 선택. 1 : Edge 트리거, 0 : 레벨 트리거. □ 몇 번의 overflow가 발생하면 1초를 만들 수 있는지 생각하여 이를 바탕으로 시계
    리포트 | 3페이지 | 2,000원 | 등록일 2012.06.26
  • 한글파일 디지털시계 설계와 분석
    디지털 응용회로의 예로 디지털시계 회로를 설계해보자. ... 기초회로실험 설계과제 디지털시계 제작과 그 과정 과 목 명 : 이 름 : 학 번 : 교 수 : 제 출 일 : 목적 디지털 응용회로를 설계, 구현하고 실험을 통해 동작을 확인하기로 한다 ... 설계과제의 문제 및 제한 조건 디지털시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2009.12.05 | 수정일 2022.12.12
  • 한글파일 타이머 / 디지털 시계 [마컴 예비레포트]
    실험 목적 AVR ATmega 128을 이용하여 타이머를 사용해 디지털시계를 만들어 보는 기초적인 응용을 통하여 작동원리를 알아본다. 2. ... 시계 1. ... 20721583 마이크로컴퓨터박민연 20721583 마이크로컴퓨터 실험박민연 10월 12일자 예비레포트 실험 6주차 PWM [Pulse Width Modulation] 타이머 / 디지털
    리포트 | 12페이지 | 1,000원 | 등록일 2012.05.22
  • 한글파일 VHDL Digital Alarm Clock 디지털 알람 시계
    digclock.vhd Library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity digclock is port( CLK :in std_logic; RST :in std_logi..
    리포트 | 17페이지 | 5,000원 | 등록일 2011.06.14
  • 파일확장자 [C/C++] 디지털시계, 아날로그 시계
    메인화면에서 디지털, 아날로그 시계 선택후 시계 화면 디스플레이
    리포트 | 5,000원 | 등록일 2011.08.17
  • 한글파일 avr/ATmega128을 이용한 디지털시계
    설계 내용과 방법 - 디지털 시계의 구성 - 디지털 시계의 동작 - DOT MATRIX 제어 - GRAPHIC LCD 및 TEXT LCD 제어 - A/D CONVERTER 제어 - ... AVR KIT를 이용한 디지털 시계 목차 1. 설계 배경 및 목표 2. ... 이전에 설계했던 디지털 시계는 단순히 시간만 표시하였지만, 이번에 설계하는 시계는 시간 수정, 스탑 워치 등 시계에 필요한 기본적인 부가 기능을 제공함으로써 실제의 시계에 가깝도록
    리포트 | 58페이지 | 2,000원 | 등록일 2012.05.07 | 수정일 2019.01.02
  • 파일확장자 자바로 만든 디지털시계
    public void windowOpened(WindowEvent e) { } public static void main(String[] args) { new DigitalClock("디지털시계
    리포트 | 1,000원 | 등록일 2009.10.30
  • 파일확장자 MFC로 만든 텍스트기반 디지털시계
    .// 시계가 동작중인 경우if(clock.GetExecState() == TRUE) {CString currTime = clock.GetCurrentTime().Format("현재시간
    리포트 | 2,000원 | 등록일 2012.03.31
  • 파일확장자 디지털 시계 설계서
    현황이처럼 디지털시계는 무한한 잠재성을 지니고 있으며, 시간에 설정된 시간에 자동으로 개폐되는 장치, 다중알람 시계 등으로 무한한 응용이 가능하다.하지만 다양한 생활용품의 디지털화가 ... 이에 디지털 시계는 패션에 관한 면에서는 문자판이나 시계 바늘의 구조를 변화시키거나 LED를 이용한 방법, 거꾸로 가는 시계 등 각종 다양한 디자인 및 아이디어가 출원됐고, 기능적인 ... 개발목적과 설계방향[개발목적] ‘디지털 공학’수업을 통해 쌓은 지식을 바탕으로 카운터를 이용해 설계할 수 있는 대표적인 순차 회로의 하나인 디지털시계를 설계 및 제작한다.
    리포트 | 20페이지 | 1,500원 | 등록일 2009.08.20
  • 한글파일 Maxplus를 활용하여 디지털시계제작
    디지털 논리 설계 < 설계 주제 > Counter를 활용한 디지털 시계 - 설계 목표 : Counter를 이해하고 Counter를 활용한 Counter시계(디지털시계)를 설계해 보자 ... 이것을 다시 12진 카운터와 연결하면 11시 59분 까지 수를 세는 시계를 만들 수 있다. ... . - 설계 요구사항 : T-F/F의 작동특성 T-F/F의 Symbol 생성법 시계의 초, 분, 시를 카운터를 활용하여 표현하는 방법 Max plus tool 작동법 < 설계 순서
    리포트 | 14페이지 | 3,000원 | 등록일 2010.12.14
  • 한글파일 vhdl 디지털 탁상 시계 설계
    2010학년도 과목 교수 이름 학번 설계작업서 성결대학교 정보통신공학부 모둠 설계제안서(계획서)-목표 순서 항목 평가 설계의 목표 ● 설계목표 : VHDL 디지털 탁상 시계 구현. ... ● 동작설명 : 현재 시각 표시(7-segment) Stopwatch 기능 알람 기능(전용 전등 점등) 발표자료 자료조사 ● 시장조사 : 전등 점등 기능을 갖춘 디지털 탁상시계는 ... 아이디어 상품으로 판단. ● 문헌조사 : VHDL 프로그래밍 기초문법(김용규 저), 본인 구현자료 - 엘리베이터 구현, 8051계산기 구현. ● 고객조사 : 싼 가격, 보기 쉬운 시계
    리포트 | 34페이지 | 3,000원 | 등록일 2010.12.30
  • 파일확장자 회로이론 디지털 시계 설계
    logic works로 구현된 디지털 시계입니다. 시간/달력/타이머/알람이 가능합니다.
    리포트 | 33페이지 | 2,500원 | 등록일 2010.11.21
  • 파워포인트파일 디지털시계를 만든후
    TTL IC로 구현한 디지털시계 완성된 디지털 시계 본 회로에서는… 타이머는 업 카운터 전용으로 한다. NE555를 사용하지않고 10MHz의 주파수 발진을 사용하였다.
    리포트 | 12페이지 | 1,500원 | 등록일 2009.06.13
  • 한글파일 TTL 디지털시계 제작
    DIGITAL 공학(디지털시계) 보고서 학과: 기계시스템공학과 팀원: 0696116 홍 성준 0696108 김 동환 목차 1.디지털시계의 개요 1. 개요. 2. 목적. 3. ... 시, 오전/오후 회로도. 5.디지털시계 제작 시 유의점 1. 납땜 시 유의점. 2. 회로도 분석 시 유의점. 6.결론 1.디지털시계의 개요. 1. 개요. ... 전자시계는 전자 공학의 원리를 구현하기 위한 간단한 디지털 회로이다.
    리포트 | 20페이지 | 2,500원 | 등록일 2009.02.05
  • 파일확장자 디지털논리회로_디지털시계, 스톱워치 둘다 (회로도, 구현영상, 설명 자세함)★
    디지털시계 발진회로의 function generator를 사용해 CP를 1Hz의 신호로 IC7의 14번 핀의 카운터 회로로 전달한다. 1초, 1분 단위 카운터의 carry 신호가 입력되면
    리포트 | 19페이지 | 3,000원 | 등록일 2015.03.01 | 수정일 2015.03.04
  • 한글파일 VHDL의 활용(_디지털시계의 설계)
    디지털시스템의 설계를 위한 VHDL의 기본과 활용 VHDL의 활용 [ 디지털시계(digital watch)의 설계] ▣ 제1절 목표,구성 및 동작 ? 설계의 목표 ? ... 디지털시계의 동작 ? 모드선택 : 시간표시, stop_watch, 초/분/시 설정모드가 반복하면 설정 ? ... 시간(time)표시 기능, 시간수정, 스톱워치(stop watch) 기능의 디지털 시계 설계 ? 모드선택과 시간수정은 버튼이용, 시간표시는 7_segment이용 ? 구성 요소 ?
    리포트 | 12페이지 | 1,500원 | 등록일 2010.06.13 | 수정일 2017.12.22
  • 한글파일 VHDL 을 이용한 디지털 시계 설계
    디지털 시계의 기능 1) 기본적인 시계 구현 - 기본적인 시계의 경우 8개의 7-Segment를 이용하여 시, 분, 초 1/100초를 각각 2개씩 나타내도록 사용하였습니다. ... 설계한 디지털시계시계기능, Stop Watch기능, 달력기능, 그리고 알람 기능을 가지고 있으며, 각각의 기능 등을 선택하는 MODE와 각 기능에 있어서 세부 사항을 조절하는 SUB_MODE ... 달력을 표시 하고 각각의 값을 설정 할수 있도록 하며, Stop Watch는 시작과 정지 모드를 가지고 정지시 정지한 시간에서부터 다시 시간이 흘러가게 되는 기능을 가진 디지털 시계
    리포트 | 34페이지 | 3,000원 | 등록일 2010.06.03
  • 파워포인트파일 [발표자료] 디지털시계 설계
    설계 목표 수업시간에 배운 Flip Flop, MODn 진카운터를 이용하여 시간 , 분 , 초와 오전 오후 까지 표시되는 디지털 시계를 제작한다 . ... 디지털 시계 제작 - 목 차 - 1. 설계목표 2. 전체 시스템 개요 3. Counter 4. Flip Flop 5. 블록별 회로설계 6. Timing Diagram 7. ... 시스템 개요 24 시간의 시간을 나타내는 시계를 구성 D F/F 으로 구성된 동기식 카운터를 이용 시 , 분 , 초를 나타내는 각 7- 세그먼트를 두 개씩 이용하여 십의 자리수와
    리포트 | 28페이지 | 1,000원 | 등록일 2010.06.06
  • 한글파일 디지털시계(타이머 카운터) 결과 보고서
    디지털시계(타이머 카운터) □ 타이머0을 사용, 8비트 Auto Reload 모드를 사용하도록 TMOD를 (& 연산자와 | 연산자 사용하여) 설정하고 설명하시오.
    리포트 | 1페이지 | 2,000원 | 등록일 2012.06.26
  • 파일확장자 AVR(Atmega128)을 이용한 디지털 시계
    기존의 디지털 시계에 시간(AM/PM), STOP WATCH 기능을 추가 한다.2. ... 외부 switch interrupt를 이용하여 시간을 수정한다.4. 4개의 딥스위치 Dipswitch를 사용하여 시계 조작의 편리성을 갖춘다.5.
    리포트 | 31페이지 | 3,500원 | 등록일 2011.06.27
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업