• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,865)
  • 리포트(4,451)
  • 자기소개서(155)
  • 시험자료(129)
  • 방송통신대(93)
  • 서식(17)
  • 논문(15)
  • ppt테마(2)
  • 이력서(1)
  • 표지/속지(1)
  • 노하우(1)

"디지털시계" 검색결과 161-180 / 4,865건

  • 파일확장자 EDA LAB-3000 적용한 디지털시계
    실습조별 과제로VHDL을 이용한 디지털시계를 구현버튼 1, 2, 3 을 사용하여리셋/ 설정모드변경/ 증가버튼I/O Pin 설정은 EDA LAB-3000 에 적용시켰습니다.
    리포트 | 1,000원 | 등록일 2010.11.11
  • 한글파일 논리회로설계 프로젝트 디지털 시계2 (7-segment)
    논리회로설계 프로젝트 3- 디지털 시계 목표 디지털 시계를 출력하는 머신을 작성하여 8개의 7-segment에 출력할 수 있는 프로그램을 작성하시오 설계 이번 설계과제는 ‘2차 과제에서 ... is end tb_digital_clock; architecture tb_digital_clock_arch of tb_digital_clock is component clock_main ... ieee.std_logic_signed.all; USE ieee.std_logic_arith.all; USE ieee.std_logic_unsigned.all; entity tb_digital_clock
    리포트 | 14페이지 | 2,000원 | 등록일 2013.12.08
  • 파일확장자 Altera Quartus(Verilog)를 이용한 디지털시계 구현
    Altera Quartus 프로그램을 이용하여 Verilog로 프로그래밍한 디지털 시계 한백전자 Soc-Entry-kit || 에 다운로드하여 작동가능 (7-segment 구분점
    리포트 | 12페이지 | 4,000원 | 등록일 2010.10.03
  • 파일확장자 부경대 디지털시스템설계실습 VHDL로 구현한 디지털시계 (EP1C6Q240C8) 발표PPT포함
    부경대 디지털시스템설계실습 VHDL로 구현한 디지털시계 (EP1C6Q240C8) ->시간표시,시간설정, 알람, 스톱워치,요일표시 중간, 최종발표PPT포함
    리포트 | 4,000원 | 등록일 2016.02.17 | 수정일 2019.06.10
  • 한글파일 AVR 디지틀 시계(C사용)
    LCD를 이용한 8515 디지틀 시계. 1. 전체 구성 초기화 -> 시간출력 -> 시간처리 -> 달력처리 2. ... 고찰 지난 학기 8051 실습 때 어셈블러로 디지털 시계를 하던 때 고찰에 C로 한번쯤 같은 프로그램을 짜보고 싶다고 했던 적이 있었는데 이번 AVR로 인해 그 내용을 실행하게 되었다 ... 디지틀 시계 설명 1) AM/PM의 12시간 형식으로 구성 2) (AM/PM) : 시시 : 분분 : 초초 3) ‘:’는 시간의 흐름에 따라 점멸함 4) Key 1번 스위치를 누르면
    리포트 | 10페이지 | 1,000원 | 등록일 2008.07.07
  • 한글파일 [디지털시계] ★디지털시계 설계★6진,10진,12진 카운터설계★회로구현 및 파형★
    디지털시계 회로구현 및 파형 * 디지털시계 회로구현 * 디지털시계 Compiler 및 waveform ... 디지털시계 설계 3. T플리플롭 설계 4. 6진 카운터 설계 5. 10진, 12진 카운터 설계 6. 카운터 회로구현 및 파형 7. 디지털시계 회로구현 및 파형 8. ... 디지털시계 설계 - 디지털 시계의 기본 개념은 0~9까지 세는 10진 카운터를 이용하여 0~9초까지 센 후 reset 시키면서 자리수를 0~5까지 세는 6진 카운터에 넘겨주어 세주면
    리포트 | 11페이지 | 1,500원 | 등록일 2011.12.18
  • 한글파일 [논리회로] 디지털시계 설계 텀프로젝트 최종보고서
    설계 내용 및 방향 ▶ 디지털시계의 구성 주변에서 흔히 볼 수 있는 디지털시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 하나이다. ... 저희 조는 오전/오후 표시가 가능한 디지털시계를 구현 해 보았습니다. ... 이와 같은 디지털시계를 설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자.
    리포트 | 13페이지 | 2,500원 | 등록일 2013.02.06
  • 파일확장자 위피 아날로그 and 디지털시계
    좀더 멋진 초침 표현을 하였습니다. 5)전자 시계와 아날로그 시계의 그래픽 작업은 그림판에서 처리하였습니다. ... -아날로그 시계 이미지의 경우, 플래쉬로 만들어진 파일을 이용하여 얻어냄(확대, 축소 시에 이미지 구겨짐 없이 변함없는 플래쉬 이미지의 특성을 이용.
    리포트 | 1,000원 | 등록일 2008.06.26
  • 파워포인트파일 디지털시계 맥스플러스 설계보고서
    ..PAGE:1 디지털 시계를 가장한 시한폭탄 ..PAGE:2 목 차 팀원 역할 분배 입력 및 출력 정의, 2진 디지털로 표시 동작 정의 & State Diagram 출력 확인을 통한 ... 입력 및 출력 정의 & 2진 디지털 표시 2진 디지털로 표시 ..PAGE:6 2. ... 입력 및 출력 정의 & 2진 디지털 표시 2진 디지털로 표시 ..PAGE:7 입력 및 출력 정의 & State Diagram State Diagram ..PAGE:8 3.
    리포트 | 21페이지 | 1,500원 | 등록일 2010.07.10
  • 한글파일 디지털시계 맥스플러스 설계보고서
    시스템의 선정 내용 및 사양정의 1) 시스템의 선정내용 디지털시계의 알람원리를 이용해 시한폭탄을 만들고 그 폭탄 을 해제할 수 있는 기능을 구현 하였다. 2) 사양정의 1 - 초, ... 시스템 명세 1) 디지털시계 폭탄을 설계하기 위해 modulo-N 카운터(6진, 7진, 10진, 12진 카운터)설계 및 사용. 2) 폭발 시간과 현재 시간을 비교하기 위한 4bit ... 입력/출력 정의 및 이진 디지털 표현 1) 입력 1 - 시간조정버튼 2 - 시간세팅 전환 버튼(초.
    리포트 | 13페이지 | 1,500원 | 등록일 2010.07.10
  • 파워포인트파일 논리소자를 이용한 디지털 시계
    개요 논리소자의 구조와 동작 원리를 이해하여 디지털 시계를 제작 2. 설계 명칭 및 최종 목표 설계 명칭. 논리소자를 이용한 디지털 시계 최종 목표. ... 이번 설계를 통해 디지털논리 강의와 실험에서는 배우지 못했던 JK 플립플롭과 디지털 시계의 내부 구조에 대하여 이해할 수 있는 계기가 되었음 {nameOfApplication=Show ... 논리소자를 이용한 디지털 시계 목 차. 1. 개요 2. 설계 명칭 및 최종 목표 3. 회로도 및 완성 결과물 4. 회로 측정 결과 5. 회로 구성 요소 및 예산 7.
    리포트 | 13페이지 | 10,000원 | 등록일 2008.04.07 | 수정일 2022.01.15
  • 파워포인트파일 24진 디지털시계
    디지털시계 (24진) 조원 : 심상철 권두안 이원주 목차. 1. 회로의 전체적 구성 및 흐름 * 전체 회로도 * 카운터의 설계 2. ... 제작 진행에서의 취약점 및 보안할 점 제작 목적 PLD를 이용한 디지털 시계를 제작한다. 카운터에 대한 전반적인 지식을 이해한다. 논리회로의 동작과 부울함수를 이해한다.
    리포트 | 14페이지 | 5,000원 | 등록일 2006.11.17
  • 워드파일 베릴로그 verilog 프로젝트project 기본 전자시계 digital watch 소스 파일
    Generated physical constraints NET "in_24" LOC = P64; NET "in_RESET" LOC = P45; `timescale 1ns / 1ps module digital_clock_jokyo
    리포트 | 66페이지 | 10,000원 | 등록일 2013.09.09 | 수정일 2022.09.10
  • 파일확장자 디지털시계플래시소스
    플래시MX버전에서 작성된 디지털시계 입니다. 소스와 설명서가 포함되어 있습니다. 상업적인 재배포는 허용되지 않으며, 그외 원본및 수정의 모든 사용이 가능합니다.
    리포트 | 1페이지 | 1,000원 | 등록일 2006.10.09
  • 파워포인트파일 VHDL 을 이용한 디지털 시계 만드는 최종 보고서
    VHDL 최종 보고서 목 차 설계의 목표 자료조사 사용부품 사용장비 구현방법 기대효과 일정계획 ( 블록도 ) 프로그램 소스 설계의 목표 무엇을 만들 것인가 ? 스톱워치를 만들 예정 입니다 . 분 , 초 , 그리고 알람 기능도 넣었습니다 . 완성품을 가정하고 동작절차를 ..
    리포트 | 20페이지 | 2,000원 | 등록일 2010.12.23
  • 파일확장자 [Flowrian] 디지털 시계 회로의 Verilog 설계 및 시뮬레이션 검증
    시계의 최상위 모듈Verilog 언어를 이용하여 디지털 응용 회로의 고급 설계를 배우려는 분에게 도움이 된다. ... 디지털 시계를 구성하는 모듈들을 Verilog 언어로 설계하고 시뮬레이션으로 검증한다.- mux4b : 4 비트 3 입력 멀티플렉서 - comparetime : 현재 시간과 알람 시간 ... 시계의 동작 모드 선택용 유한상태머신 - alarmcontrol : 알람 제어용 유한상태머신 - timecontrol : 현재 시간 제어용 유한상태머신 - stwcontrol :
    리포트 | 74페이지 | 4,000원 | 등록일 2011.09.17
  • 파일확장자 디지털시계 설계(로직웍스이용)
    T플립플롭을 이용하여 디지털시계를 설계하였습니다.
    리포트 | 3,000원 | 등록일 2008.12.12
  • 파워포인트파일 8051을 이용한 다기능 디지털 시계 만들기
    ..PAGE:1 마이크로 프로세서 응용실험 2008. 12. 17 다기능 디지털 시계 Digital Clock * ..PAGE:2 1. 조 원 2. 목 표 3. 일 정 4. ... ㆍ 모드 1 (디지털 시계기능, 알람 기능) 구현. - 월/ 일/ 요일/ 시/ 분/ 초 세팅 및 시계 작동/정지 가능. - 알람 세팅 및 ON/OFF기능. ... 결 과 초기화면 ① 모드1 (디지털 시계 기능, 알람 기능) - 월/ 일/ 요일/ 시/ 분 /초 구현(각요소 Key2개로 세팅가능) - 시계 구동/정지가능 (KEY3 : TR0 =~
    리포트 | 24페이지 | 10,000원 | 등록일 2009.11.30
  • 파일확장자 Spartan 3 E Board Digital Watch(디지털 시계)
    여기서 Basic 기능은 AM/PM, 시간, 분, 초 의 표시로서 기본의 디지털 시계가 지녀야할 기능을 말하고 있다. ... 가장 기본적인 시계의 동작은 이처럼 동작한다. 시계에 대한 자세한 설명은 아래 소스의 주석으로 남겨놓았다. ... Time_Set Source Code 시계의 기본기능을 담당하는 부분이다. 시간을 발생시키는 소스를 직접 작성하여 LCD에 데이터를 전송하여 출력을 할 수 있도록 작성하였다.
    리포트 | 66페이지 | 5,900원 | 등록일 2008.08.29
  • 한글파일 vhdl을 이용한 FPGA 킷에서의 디지털 시계 구현
    주어진 entity로 디지털 시계를 설계하며, pin할당 역시 주어진 pin table을 참고하여 할당한다. ... Purpose Xilinx 소프트웨어를 이용하여 디지털 시계의 소스코드를 작성한 후, FPGA Kit에 적용하여 실제로 시간이 표시되는지 여부를 확인한다. 2. ... is Port ( rst_n : in std_logic; clk : in std_logic; DIGIT : out std_logic_vector( 6 downto 1 ); SEG_A
    리포트 | 8페이지 | 3,000원 | 등록일 2009.11.12
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업