• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,862)
  • 리포트(4,452)
  • 자기소개서(153)
  • 시험자료(130)
  • 방송통신대(90)
  • 서식(17)
  • 논문(15)
  • ppt테마(2)
  • 이력서(1)
  • 표지/속지(1)
  • 노하우(1)

"디지털시계" 검색결과 1-20 / 4,862건

  • 파워포인트파일 디지털 시계 실험 프레젠테이션
    - 디지털 시계 디지털 회로 실험 개 요 1. 2. 3. 4. 회로구성 시계제작 작동원리 결과 및 고찰 1. ... 디지털 시계를 제작하면서 디지털 시계에 대한 원리와 동작을 알게되었습니다. ... 결과 및 고찰 디지털 시계를 만드는 가장 중요한 요점은 clock의 수에 따라 변화하는 카운터와 입력을 받아 출력을 생성하는 디코더, 그리고 입력을 받는대로 led의 불을 켜는 7-
    리포트 | 15페이지 | 5,000원 | 등록일 2021.12.06 | 수정일 2022.04.08
  • 한글파일 디지털 알람 시계 (디지털 시계 알람 기능 구현)
    11장 16진 카운터’ 실험과 ‘디지털 12장 60진 카운터/타이머 설계’ 실험에서 배운 이론을 응용할 수 있는 ‘디지털 알람 시계’를 프로젝트 주제로 선정했다. (2) 최초 목표 ... 및 사양 사용자가 직접 현재 시각과 알람 시각을 설정할 수 있고, 설정한 알람 시각에 알람이 울리며, 시, 분, 초가 표시되는 디지털 알람시계를 제작한다. [2] 연구내용 (1) ... 앞의 회로와 마찬가지로 디지털 시계가 작동하기 위한 clock을 발생시키는 회로이다. 이때, 분주 회로를 같이 설계하여 신호를 1/10M로 나누면 1Hz를 만들 수 있다.
    리포트 | 13페이지 | 1,500원 | 등록일 2020.12.19
  • 한글파일 VHDL실습 디지털 시계
    디지털시계의 전체구조는 다음과 같다. ... VHDL 및 실습 디지털 시계 설계 및 시뮬레이션 1.서론 ‘디지털시계‘ 라는 전체 시스템의 설계를 vhdl이나 schematic등 하나의 디자인이 아닌 기능별로 블록화, 부품화 ... 다음과 같이 디지털시계를 설계하기 위해 각 기능별로 회로를 설계했다. 이것들을 이용해 본격적으로 디지털시계를 설계하는 과정을 설명해보려 한다.
    리포트 | 14페이지 | 2,000원 | 등록일 2019.04.20
  • 한글파일 [디지털공학개론] 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오
    디지털 시계와 레지스터 학번 : 이름 : 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오. ... 우리가 주변에서 흔히 볼 수 있는 디지털시계는 카운터를 이용해 만든 대표적인 순차 회로 중 하나이다. ... ※참고문헌 [디지털클락]디지털시계이론및자료.(20160528). https://murcielrago.tistory.com/12 레지스터.(n.d.). 11장 레지스터 .
    방송통신대 | 7페이지 | 3,000원 | 등록일 2021.03.23
  • 한글파일 디지털 시계 만들기 제안서
    카운터, 7-Segment, 분주 회로, 오실레이터 등을 사용해 디지털 시계를 구성하며 Flow Chart를 토대로 디지털 시계의 설계를 진행한다. ? ... [프로젝트 개요] 디지털 시계란 카운터를 이용해 설계할 수 있는 대표적인 순차 회로 중 하나이다. ... 디지털 시계 설계 Flow Chart (1) 시/분/초 표시 기능 ? 크리스탈 오실레이터에서 크리스탈 칩을 통해 10Mhz를 발생시킨다. ?
    리포트 | 6페이지 | 2,000원 | 등록일 2021.06.01
  • 파일확장자 디지털 시계 결과보고서
    실험 제목디지털 시계2. 목적 및 목표브레드보드에서 4020, 7490, 7447소자의 동작을 이해하고 주파수가 세븐세그먼트의 숫자에 어떤 영향을 끼치는지 알아보자!3.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.09.23 | 수정일 2019.09.24
  • 한글파일 TTL IC를 이용한 디지털 시계
    TTL IC를 이용한 디지털 시계 실험) ?1HZ 구형파 발생회로 1Hz 구형파 발생회로 555타이머와 74LS390을 이용하여 1초를 만들어 보는 실험을 진행 하였다. ... 만들기 전에 시계의 카운터를 해줄 실험을 이번에 실행하게 되었다. ... 연결하여서 24시간을 표현해 주었다. 7 segment 표시 01 19 22 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 1 0 0 1 0 0 1 0 토의) 마지막 시계
    리포트 | 3페이지 | 2,000원 | 등록일 2019.06.25
  • 한글파일 디지털 시계 회로 제작 보고서
    전기전자 기초실험 및 설계 Term Project 보고서 디지털 시계 회로 제작 1. 실험 주제 디지털 논리 회로를 이용한 디지털 시계 제작 2. 실험 원리 그림 1. ... 디지털 시계 회로 그림 1은 우리 조가 설계한 디지털 시계의 회로도이다. 이 회로를 구성하기 위해서는 ? 하부의 발진회로 및 분주회로와, ? ... 다시 말해, 가변저항을 적절히 변화시켜서 디지털 시계의 시간을그림 3. 분주회로 조정할 수 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.27
  • 한글파일 디지털공학개론 ) 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오. 2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오. 할인자료
    카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오. 디지털 시계의 설계는 우리가 어떠한 방식의 시계를 설계할 것이냐에 따라 카운터의 구성이 달라지게 된다. ... 디지털공학개론 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오. 2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오. 디지털공학개론 1. ... 디지털 시계를 설계하기 위하여 60Hz의 신호를 사용한다고 가정한다.
    방송통신대 | 6페이지 | 5,000원 (5%↓) 4750원 | 등록일 2022.02.17
  • 한글파일 디지털 시계 콤보(combo2) 소스
    module clock(input clk, input time_set, input inc_hr, input inc_min,output reg [9:0] dot_col,output reg [13:0] dot_dis, output reg [7:0] apm, ..
    리포트 | 13페이지 | 1,000원 | 등록일 2020.04.22 | 수정일 2020.12.24
  • 파워포인트파일 디지털 알람 시계 회로도 설계 및 제작
    디지털 알람 시계 회로도 설계 및 제작 Contents Conclusion 작동 원리 주요 소요 부품 회로도 및 회로 설명 TIME TABLE 1. ... 최종 설계 목표 ( SPEC ) 시 , 분 , 초의 카운터 기능 알람 기능 AM( 오전 )/PM( 오후 ) 표시 기능 Reset ( 작동하고 있는 시계를 Reset 시키는 것 ) Time
    리포트 | 16페이지 | 2,000원 | 등록일 2020.02.14
  • 한글파일 [디지털 공학 실험] 7-seg로 디지털 시계 만들기 보고서
    1589239 빵판에다 만드는 디지털 시계(Digital Clock) https://www.youtube.com/watch? ... 실험 목적 7조 제출일자 팀원이름(학번) 설계 목표 ● 한 학기 동안 배운 디지털 공학 실험 이론을 통하여 디지털 시계를 설계한다. - SET 단자를 누를 시 시계의 동작 기능을 한다 ... ) - RESET 단자를 이용하여 모든 표시가 (시/분/초 00 00 00)으로 표시된다. - 원하는 시간을 setting 할 수 있는 기능을 포함해야한다. - 위의 기능 이외에 디지털시계
    리포트 | 12페이지 | 2,000원 | 등록일 2020.01.01
  • 파일확장자 [텀프로젝트] 디지털시계, 디지털 스탑워치, 디지털 타이머 (회로, 시뮬레이션, 소자, 구동원리, PPT)
    발진회로• 이 회로는 100Hz 대신 110Hz의 주파수를 클럭에 사용하는 회로입니다. 회로 상에서는 주파수에 손실이 일어날 수 있어 계산하여 10Hz를 추가하였다. 실제 기판에서는 56K에 해당하는 저항을 100K 가변저항으로 대체함으로써 이를 보완하였다. • 핀 5..
    리포트 | 31페이지 | 9,900원 | 등록일 2019.04.05 | 수정일 2022.04.10
  • 파일확장자 verilog-디지털시계(Digital watch)A+자료 코드및 레포트
    목표: Verilog HDL을 이용하여 디지털 시계를 설계 한다.내용: 교재를 참고하여 디지털 시계를 완성 한다.- MSL (Master Selection Logic) 설계- DCL ... (Digital Clock Logic) 설계 - TL (Timer Logic) 설계- AL (Alarm Logic) 설계- 7-Segment 출력 설계- 부가적인 기능 (Blink
    리포트 | 59페이지 | 9,000원 | 등록일 2019.08.24 | 수정일 2023.11.02
  • 워드파일 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    Vhdl를 이용한 코드 출력 결과 디지털 시계 이론적 배경 Vhdl를 이용해 디지털 시계를 구성하기 위해 component 문을 활용하여 설계를 하게 되었다. ... 설계 과정 다음은 디지털 시계의 전체 구조를 schmatic 으로 본 것이다. ... 출력 결과 1초 생성기 이론적 배경 디지털 시계를 만들기 위해 1초마다 클럭을 만들어 내는 1초 생성기가 필요하다.
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • 파워포인트파일 조선대 전자회로실험 디지털시계 과제 레포트
    디지털 시계 RC발진 회로를 이용하여 가변저항 100K옴 을 가변하여 발진주파수를 변화 시킨다. ... 가변적항을 적절히 변화시켜서 디지털 시계의 시간을 조정을 하고 시계의 기본단위인 1초를 나타내기 위한 1Hz 주파수를 얻는 회로인 분주회로는 CMOS 4020 를 사용합니다.
    리포트 | 19페이지 | 1,500원 | 등록일 2019.11.07 | 수정일 2019.12.07
  • 파일확장자 [디지털공학개론] 디지털 시계의 회로도 설계와기본형 레지스터 및 IC의 종류
    발진 회로디지털 시계의 회로도 설계에 있어서 발진회로는 일정한 클록을 제공하기 위해서 요구된다. 이를 세팅하기 위해서는 다음의 세 방법이 있다. ... 분주 회로디지털 시계의 기본 단위로 약속된 시간 규격인 초 를 나타내기 위해서는 ‘ ’ 1Hz 주파수가 필요하다 분주회로는 정현파에서 구형파를 얻을 수 있도록 . 60Hz 1Hz 도와주는
    리포트 | 6페이지 | 2,000원 | 등록일 2020.04.05
  • 파일확장자 자바 디지털시계(7segment) 보고서(소스코드 포함)
    c = getContentPane();public int p;public Digital() {setTitle("디지털 시계"); //제목setDefaultCloseOperation ... 디지털시계- 소스코드package pjFinal;import javax.swing.*;import java.awt. ... *;import java.util.Calendar;import java.util.GregorianCalendar;public class Digital extends JFrame {Container
    리포트 | 4페이지 | 1,000원 | 등록일 2020.09.13
  • 워드파일 term project - ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계
    디지털 시계의 역할을 세가지로 나눌 수 있는데. ... 이번 다기능 디지털 시계 설계 목표는 단순한 시계 구현만이 아니라, 타이머와 스탑워치의 기능까지 구현하는 것이 중요했다. ... 지금은 디지털 시계, 손목 시계 등 우리 일상에서 쉽게 구할 수 있는 물품이지만 시계는 하루 동안의 시간 변화를 객관적으로 나타내기 위한 우리 일상의 중요한 장치 중 하나이다.
    리포트 | 14페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 한글파일 디지털 시계 설계 설계보고서(충북대 및 타 대학교)
    기초회로실험 Ⅱ 디지털 시계 1. ... 작동원리 1) 디지털 시계의 구성 에 나타낸 디지털 시계의 전테 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간 ... 목적 1) 디지털 시계 설계 2) 학습한 내용을 토대로 디지털 응용회로를 설계, 구현하고 실험을 통해 동작 확인 3) 팀 단위의 프로젝트 진행으로 팀원간 역할분담, 팀워크 훈련 4)
    리포트 | 18페이지 | 2,500원 | 등록일 2020.11.19 | 수정일 2020.12.04
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업