• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,654)
  • 리포트(2,376)
  • 자기소개서(143)
  • 시험자료(88)
  • 방송통신대(37)
  • 논문(7)
  • 서식(1)
  • 이력서(1)
  • ppt테마(1)

"논리회로 신호등" 검색결과 1-20 / 2,654건

  • 한글파일 논리회로실험_신호등(사거리) 레포트
    그리고 EW 도로에 차량이 있으면 신호가 계속 바뀌어야 한다. 3) NS 녹색 신호등은 7초 동안 진행되며, 2초간 황색 신호를 준 후 적색으로 바뀐다. ... EW 녹색 신호등은 4초 동안 지속된 후 2초간 황색 신호를 준 후 적색으로 바뀐다. ※ 기타사항 : 차량이 통행하는 것은 스위치를 이용하라. ... 논리회로실험 과목을 수강하는 동안 배운 모든 내용들을 완벽히 숙지하고 있어야 이 실험을 원활히 진행 할 수 있음을 느꼇고 문제의 원인을 찾지는 못 하였지만 IC칩 교체와 회로 재구현을
    리포트 | 17페이지 | 3,000원 | 등록일 2022.05.31
  • 한글파일 논리회로 카운터 신호등 설계
    위 설계 회로도 표시에 대한 설명으로는 1번의 경우 4비트 동기카운터를 나타냈으며, 2번은 주황색 신호논리 회로로서 13,14,15 Clock일 때 표현을 하려고 했으며, 13~ ... (설계에 있어서는 하나의 신호등만 표현했으므로, 빨간 신호는 1clock만 표현 하였습니다.) ◇ 기타 카운터를 이용한 신호등을 확장 해보았을 때, clock조절 및 추가적인 회로를 ... 동기식 카운터를 사용하는 이유는 비동기카운터에 비해 논리 회로 구현이 복잡하기는 하지만 동시에 Clock가 인가 되므로 모든 플립플롭이 동시에 동작 따라서 한 단의 전파지연만 존재하게
    리포트 | 5페이지 | 3,500원 | 등록일 2012.07.09
  • 파일확장자 아주대 논리회로 vhdl 신호등 과제[학점 A+]
    보행자 신호등은 적색등과 녹색등으로 이루어져있으며, 녹색등 안에 숫자를 카운트할 수 있는 7-Segment 2개가 포함된 게 일반적인 신호등이다.이번 프로젝트에서는 간단하게 ... 녹색등 하나에, 7-Segment 1개가 포함된 신호등을 제작한다.입력 1개와 출력 8개가 존재해야하며, 입력 1개는 시작을 알리는 입력으로 끝날 때까지 입력이 지속되어야 하며, ... 선언하였다. architecture light of test1 issignal temp :integer;begin⇨
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.29 | 수정일 2016.05.31
  • 파일확장자 논리회로실험_VHDL을 이용한 신호등 설계
    1. Object- Using the traffic lights module mounted on HBE-COMBO II, implement traffic lights controller easily accessible in our lives.- Traffic light..
    리포트 | 25페이지 | 3,000원 | 등록일 2011.07.06 | 수정일 2017.06.21
  • 한글파일 디지틀 논리 회로 - 사거리 신호등
    또 남쪽 신호등의 직진신호가 꺼지면 북쪽 신호등에 좌회전 신호가 들어온다. 그리고 노란불 후 빨간불이 켜진다. 이후에는 같은 방법으로 서쪽과 동쪽 신호등신호가 들어온다. ... 그러다 남쪽 신호등의 좌회전 신호가 꺼지고 노란불이 들어온 후 빨간불이 켜지면 북쪽 신호등에 직진신호가 들어온다. ... 사거리 신호등 G ○ R ● ● R ○ G R ● R ● ● R ○ ← G L 처음에는 남쪽 신호등에서 좌회전과 직진신호가 들어오고 나머지는 빨간불이 켜져 있다.
    리포트 | 9페이지 | 1,500원 | 등록일 2009.05.26
  • 한글파일 논리 회로를 이용한 교통 신호등의 제작
    기존의 교통 신호등에 ALL RED 시스템과 차량의 통행을 감지하는 시스템을 적용한 지능적인 사거리 교통 신호등논리 회로의 이론과 실험 시간에 익힌 경험으로 구현하고, 교통 신호 ... OUTPUT 값의 형태는 순차 논리 회로의 형태인 ‘COM'이고 Definitions나 State_diagram은 조합논리회로의 형태로 하는 등의 실수를 하였다. ... 이 전에 실험 때 했던 것들은 거의가 조합 논리 회로였고, 순차 논리 회로의 코딩은 처음이었다.
    리포트 | 14페이지 | 5,000원 | 등록일 2007.11.07
  • 파일확장자 [보고서+소스코드]아주대 논리회로실험 기말프로젝트 VHDL 신호등 설계(Traffic Lights) 보고서
    프로젝트의 목적 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 실생활에 쓰이는 신호등의 컨트롤러를 구현한다. ... 세부적인 계획은 우선 주어진 기본 신호등 동작 소스를 완벽히 이해하여 그것들을 응용하여 우리가 만들고자 하는 추가적인 기능들을 설계할 것이다. ... 기본적인 신호등의 기능을 직접 VHDL을 이용하여 코딩하고 시뮬레이션 해본 후 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 동작을
    리포트 | 30페이지 | 3,800원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 한글파일 논리회로 신호등 제어기(교차로-2센서) 설계
    신호등 제어기(교차로-2센서) 설계 현재상태 입력 다음상태 출력 A B C D WT ET A+ B+ C+ D+ EWR EWY EWG NSR NSY NSG 0 0 0 0 X X 0 ... 0 1 1 0 1 X X 0 0 0 0 0 0 0 0 0 0 1 1 1 0 X X 0 0 0 0 0 0 0 0 0 0 1 1 1 1 X X 0 0 0 0 0 0 0 0 0 0 ... 제어기(교차로-2센서) 상태표> 1.구현하고자하는 회로의 부울식을 구한다.
    리포트 | 27페이지 | 2,000원 | 등록일 2004.06.09
  • 한글파일 순차논리회로설계 결과레포트
    조합논리회로 : 외부 입력과 상태 정보 등 두 입력 신호와 다음 상태 정보와 외부 출력 신호 등 두 출력 신호가 있음 ? ... [이론내용] ▣ 순차논리회로와 상태도 ▷ 상태도 (FSM : Finite State Machine) - 조합논리회로만으로 디지털 논리회로를 설계하는 것이 쉽지 않다. ... [결론 및 토의] [Section 01] 간단한 상태도의 구현 대부분의 디지털 논리회로는 조합논리회로와 순차논리회로를 함께 설계해야하는 경우가 많다.
    리포트 | 10페이지 | 3,000원 | 등록일 2021.06.10 | 수정일 2022.04.18
  • 한글파일 디지털 및 순서 논리 회로 프로젝트
    디지털논리 - 순서논리 회로 프로젝트 ⊙ 요구 사항 (과제 목표 및 개념도) ⊙ 배경 지식 (용어 정리: 순차회로, 무어 머신 등..) ⊙ 설계 내용 ? ... din' ◎ 회로도 ⊙ 결론 * 요약 정리 순서논리회로 : 출력은 현재상태의 입력과 이전상태의 출력에 따라 결정. 신호의 타이밍으로 동기/비동기 순서논리회로로 나눔. ... 디지털 논리회로[한빛 아카데미] ( 동기 순서논리회로, 비동기 순서논리회로)
    리포트 | 6페이지 | 2,500원 | 등록일 2021.07.02
  • 파일확장자 아날로그 및 디지털회로 설계실습 / 결과보고서 / 11.카운터 설계 / A+성적인증포함 / 해당학기 전체성적포함
    요약Flip Flop을 활용한 순차식 논리회로인 비동기 8진 카운터와 16진 동기 카운터 회로를 제작하고 실험하였다. ... 서론설계실습계획서에서 8진 비동기 카운터 회로에 대하여 Timing chart로 Simulation한 결과, 실험에서 스위치 역할을 대신하는 clk 신호에 의해 각 JK flip flop의 ... 초기상태 0000부터 1111까지 LED 점등을 통해 올바르게 동작하는 것을 확인하였으며, 8진 비동기 카운터와 유사하게, 최대 표현 숫자 1111에서 스위치를 누르면 초기상태 0000으로
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.13
  • 워드파일 순차논리회로기초 실험 예비보고서
    필요한 플립플롭의 클럭 신호는 수정 발진기 등을 이용하여 생성한다. 복잡한 회로는 많은 플립플롭이 같은 클럭신호를 사용하므로 전용의 클력 배선이 필요한 경우도 있다. ... 개요디지털 논리회로 교과에서 학습한 순자 논리 회로의 동작을 아두이노를 이용해 되풀이 해보고. ... 유지할 수 있는 회로이며 순차 회로의 기본요소이다. 조합논리회로에 비해 플립플롭은 이전상태를 계속 유지하여 저장한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.04 | 수정일 2021.04.14
  • 파일확장자 기본논리회로 / AND, OR, NOT, NAND, NOR
    2진 신호 입력을 가지고 논리적 판단 AND, OR, NOT을 수행할 수 있는 회로① 집적회로 = 기본논리소자 or 게이트 : 논리적 동작에 있어 기본적인 AND, OR, NOT 동작 ... 기본 논리회로와 디지털 IC2-1. 기본논리회로① 기본논리회로란? ... 등을 수행③ 진리표 : 2진의 입력과 출력변수사이의 가능한 조합을 표로 표현한 것진리표의 진리 : Yes(참) = 1 / No(거짓) = 0 을 논리표현에 사용한 것에서 유래★ 논리
    리포트 | 7페이지 | 1,000원 | 등록일 2020.11.02
  • 한글파일 논리회로실험 순차회로 설계
    구성하는 기본 회로 - 전원이 공급되는 한, 상태의 변화를 위한 외부 신호가 발생할 때까지 현재의 상태를 그대로 유지하는 동기식 순서논리회로이다. - 기본적으로 두 개의 NAND ... 고찰 이번 예비실험에서는 순차논리회로에 대해 학습하였다. 순차논리회로란 입력이 들어가서 출력이 되고 다시 그 출력이 입력이 되는 회로다. ... 게이트 또는 NOR게이트를 이용하여 회로를 구성한다. - 논리 회로로 구성되었기 때문에 논리회로에 준하는 빠른 동작속도를 얻을 수 있고 플립플롭으로 활용 가능하다. - 대표적인 래치로써
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    실험 이론 (1) 조합 논리 회로 - 논리 곱(AND), 논리 합(OR), 논리 부정(NOT)의 세가지 기본 회로를 조합하여 구성한 논리 회로 - 출력이 입력에 의해 결정됨. - 논리 ... IT CookBook, 디지털 논리회로. 조합논리회로. ... 실험의 목적 Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험(Encoder/Decoder, Mux/Demux 등)하고, 설계한 로직을 시뮬레이션하기
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 한글파일 [디지털공학개론] 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오
    디지털 논리회로. n.p.: 한국방송통신대학교. ... 이미 만든 회로에 Enable 제어 신호를 추가하려면 설계하고 싶은 카운터를 입력 Ei가 0일 경우에 동작하지 않고 현재값을 유지하고 있으면 된다. ... 카운터에는 비동기 카운터, 동기식 카운터, 프리세트 카운터, 등이 있다.
    방송통신대 | 7페이지 | 3,000원 | 등록일 2021.03.23
  • 워드파일 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    STEP 8: 표5 위의 진리표를 만족하는 논리회로를 구현하려고 한다. ... 검토사항 1) Combinational 논리 회로의 최소화는 회로의 gate의 숫자나 wire의 숫자, 길이를 줄여주게 된다. ... 회로를 ISE로 작성할 때, name을 이용해 신호를 연결하면 더욱 편리하고 수정하기에도 쉽다는 것을 알 수 있었다.
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 한글파일 (디지털 회로실험)8421 Encoder의 논리회로 설계
    외부에서 들어오는 임의의 신호를 부호화된 신호로 변환하여 컴퓨터 내부로 들여보내는 조합 논리회로로 2 ^{n}개의 입력신호로부터 n개의 출력신호를 만든다. ... 회로에서는 오직 신호의 ON과 OFF만을 갖고 논리를 결정짓기 때문에 2진수의 개념을 도입하면 회로를 통해 2진 연산이 가능해진다. ... 디지털 논리소자를 이용한 회로도를 설계한다. Maxplus Ⅱ 프로그램을 이용해 회로를 구성한다. 8421인코더는 논리소자 OR게이트로 구성된다는 것을 알 수 있다.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.08.18 | 수정일 2022.02.16
  • 파일확장자 아주대학교 논리회로 / VHDL 설계 과제 보고서 (4 bits Gray to Binary code conversion)
    논리회로 설계 내용 및 동작 원리 설명A) 알고리즘4비트 그레이 코드를 4비트 2진 코드로 변환 하 는 일반적인 알고리즘은 다음과 같다 .1. 4비트 그레이코드를 입력한다.2. ... 하지만 본 과제에서는 최소식을 통한 회로 구성을 요구한다. 따라서 위의 경우와는 달리, 최소식을 이용해 SOP 방식으로 회로를 구성해야 한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.05.29 | 수정일 2020.06.05
  • 워드파일 121. (PT_전공 주제) 반도체 디지털 회로설계를 아날로그 회로 설계와 비교하고, 완성된 제품군을 각각 예시 하시오
    일부로서 설계됩니다. (2) 차이점 ① 신호 형식: 디지털 회로는 이산적인 디지털 신호를 처리하고 논리 회로를 사용합니다. ... 디지털 회로로 구성되어 있으며, 논리 게이트, 레지스터, 알아보기/쓰기 메모리 등의 디지털 회로로 이루어져 있습니다. ... 아날로그 회로 설계는 아날로그 신호 처리와 안정성, 성능, 노이즈 고려를 중점으로 합니다. ④ 신호 처리 정확도: 디지털 회로는 정확한 논리 연산을 수행할 수 있습니다.
    자기소개서 | 3페이지 | 4,000원 | 등록일 2023.06.09
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업