• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

순차논리회로설계 결과레포트

*직*
개인인증판매자스토어
최초 등록일
2021.06.10
최종 저작일
2021.06
10페이지/한글파일 한컴오피스
가격 3,000원 할인쿠폰받기
다운로드
장바구니

소개글

"순차논리회로설계 결과레포트"에 대한 내용입니다.

목차

1. 간단한 상태도의 구현
1) 학습목표
2) 이론내용
3) 실험결과

2. 레지스터의 표현
1) 학습목표
2) 이론내용
3) 실험결과
4) 결론 및 토의

본문내용

[학습목표]
· 순차논리 회로를 설계하기 위해 FSM도(상태도)를 작성하고, Verilog, VHDL로 설계하는 과정을 공부한다.
· 설계된 순차논리 회로를 시뮬레이션으로 설계를 검증하고 실습키트에 동작을 확인한다.

[이론내용]
▣ 순차논리회로와 상태도
▷ 상태도 (FSM : Finite State Machine)
- 조합논리회로만으로 디지털 논리회로를 설계하는 것이 쉽지 않다.
여기서 조합논리회로란, 임의의 시간에서의 출력이 전의 입력에는 관계없이 현재의 입력조합(0 or 1)으로부터 직접 결정되는 논리회로를 말한다.
이에 반해, 순차논리회로는 조합논리회로와 다르게 피드백 부분이 있어 외부로부터의 입력과 현재 상태에 따라 출력이 결정된다.
- FSM은 순차논리회로를 설계하는 하나의 방법이다.

▷ 순차논리회로의 구성요소
⦁ 기억소자 : 플립플롭을 포함하며 순차논리회로의 상태를 기억한다.
⦁ 조합논리회로 : 외부 입력과 상태 정보 등 두 입력 신호와 다음 상태 정보와 외부 출력 신호 등 두 출력 신호가 있음
⦁ 클럭 : FSM의 상태가 변하는 동기 클럭이 필요하다.

참고 자료

없음
*직*
판매자 유형Silver개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

더보기
최근 본 자료더보기
탑툰 이벤트
순차논리회로설계 결과레포트
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업