• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(15,008)
  • 리포트(13,822)
  • 자기소개서(658)
  • 시험자료(351)
  • 방송통신대(101)
  • 논문(52)
  • 서식(16)
  • 이력서(4)
  • 기업보고서(2)
  • 표지/속지(1)
  • ppt테마(1)

"신호등 회로" 검색결과 1-20 / 15,008건

  • 한글파일 논리회로실험_신호등(사거리) 레포트
    그리고 EW 도로에 차량이 있으면 신호가 계속 바뀌어야 한다. 3) NS 녹색 신호등은 7초 동안 진행되며, 2초간 황색 신호를 준 후 적색으로 바뀐다. ... EW 녹색 신호등은 4초 동안 지속된 후 2초간 황색 신호를 준 후 적색으로 바뀐다. ※ 기타사항 : 차량이 통행하는 것은 스위치를 이용하라. ... 실험 내용 남북(NS)과 동서(EW)의 교차로가 있는 교통신호제어기를 디자인한다. 1) NS 도로가 교통 흐름이 많은 주도로이므로 EW 도로에 차량이 없을 때는 NS 도로에 녹색신호등
    리포트 | 17페이지 | 3,000원 | 등록일 2022.05.31
  • 한글파일 전자회로 ) 전자회로 요약하기 - BJT의 저주파 소신호 등가모델 할인자료
    전자회로 전자회로 요약하기 전자회로 전자회로 요약하기 2.3 BJT의 저주파 소신호 등가모델 2.3.1 하이브리드-π 소신호 등가모델 (1) BJT의 저주파 소신호 등가모델 - 저주파 ... 변환, BJT를 소신호 등가회로로 대체, KVL, KCL 적용 2.4.2. ... 등가모델 : 주파수 특성이 포함되지 않음 - 고주파 등가모델 : 주파수 특성이 포함 - 하이브리드-π 등가모델 : 증폭기 회로 해석에 널리 사용되는 등가모델 (2) 전달 컨덕턴스
    리포트 | 4페이지 | 5,000원 (5%↓) 4750원 | 등록일 2022.07.26
  • 한글파일 고급디지털회로 신호등 설계
    - 과제 제안서 일정한 시간에 따라 경로에 대한 신호를 FPGA보드로 구현한다. ... 이름에 의한 신호 연결 traffic U2 (.mclk(mclk3), .clr(clr), .lights(Led) ); endmodule ------------------------ ... 변수의 선언 wire mclk3; wire clr; assign clr = btn[3]; clkdiv U1 (.mclk(mclk), .clr(clr), .mclk3(mclk3));//신호
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.22
  • 파일확장자 <<[AVR] 교차로 신호등 시스템 제작>>교차로 신호등,사거리 신호등,소스코드,회로도,ATmega128,신호등의 원리,동작 타임차트,4색 신호등,신호체계,신호등 순서,전자 신호등 설계
    ['[AVR] 교차로 신호등 시스템 제작 + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. ... 사지 교차로에서 신호등은 도로신호등은 4개, 보행자 신호등 4개가 존재하며(중복되는 신호등 제외) 신호등은 [ 적, 황, 녹(좌회전), 녹 ]의 4색 체계를 사용한다. ... 타임차트를 통해 교차로 신호등의 기본 시스템에 대해서 알아보고 교차로 모형에 LED를 배치하여 도로 신호등과 보행자 신호등을 구현하여 교차로 신호등 시스템의 원리와 동작에 대해서 알아보도록
    리포트 | 15페이지 | 4,000원 | 등록일 2016.12.26 | 수정일 2017.04.20
  • 파워포인트파일 신호등제어회로
    권상 제어 회로 2. 컨베이어 제어 회로 3. 양수 펌프 제어 회로 5. 전동기 운전 회로 4. 신호등 제어 회로 단원 지도 계획 OHP 및 LCD 프로젝터 차시안내 5. ... 신호등 동작의 원리 2. 제어용 시퀀스 회로 3. PLC 회로로의 변환 방법 [지도요점] 1. 신호등 동작의 운전 원리를 잘 알 수 있도록 설명한다. 2. ... 이 시간의 학습목표 신호등 동작원리를 말할 수 있다. 신호등 제어 회로를 만들 수 있다.
    리포트 | 24페이지 | 1,500원 | 등록일 2010.12.20
  • 한글파일 사거리신호등 디지털회로설계 프로젝트
    여러 유형의 신호등 중 사거리의 신호등을 살펴봄으로써 신호등의 불이 어떻게 들어오는지, 신호등을 제어하려면 어떤 설계를 해야 하는지 알아보기 위하여 설계과제를 수행하였다. ... 신호등 점등 LED 전체 사거리 신호등 - 데이터시트 관련 주요부분 포함 74LS08 AND게이트 74LS42 세그먼트 NE555 타이머 74LS32 OR게이트 74LS04 인버터 ... 신호등에서 사용되는 카운터와 세그먼트, 타이머 등에 대하여 더 자세하게 알 수 있는 기회가 된다.
    리포트 | 4페이지 | 3,000원 | 등록일 2014.12.21
  • 한글파일 논리회로 카운터 신호등 설계
    (설계에 있어서는 하나의 신호등만 표현했으므로, 빨간 신호는 1clock만 표현 하였습니다.) ◇ 기타 카운터를 이용한 신호등을 확장 해보았을 때, clock조절 및 추가적인 회로를 ... 주행신호(파란색) 6Clock때 좌회전 신호가 켜지면서 동시신호로 변하게 되며, 13~15Clock 일 때 경고신호(노란색)을 통해 정지신호가 다음 차례에 올 것을 알려주는 회로를 ... 위 설계 회로도 표시에 대한 설명으로는 1번의 경우 4비트 동기카운터를 나타냈으며, 2번은 주황색 신호의 논리 회로로서 13,14,15 Clock일 때 표현을 하려고 했으며, 13~
    리포트 | 5페이지 | 3,500원 | 등록일 2012.07.09
  • 파일확장자 아주대 논리회로 vhdl 신호등 과제[학점 A+]
    보행자 신호등은 적색등과 녹색등으로 이루어져있으며, 녹색등 안에 숫자를 카운트할 수 있는 7-Segment 2개가 포함된 게 일반적인 신호등이다.이번 프로젝트에서는 간단하게 ... 녹색등 하나에, 7-Segment 1개가 포함된 신호등을 제작한다.입력 1개와 출력 8개가 존재해야하며, 입력 1개는 시작을 알리는 입력으로 끝날 때까지 입력이 지속되어야 하며, ... 선언하였다. architecture light of test1 issignal temp :integer;begin⇨
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.29 | 수정일 2016.05.31
  • 파일확장자 논리회로실험_VHDL을 이용한 신호등 설계
    1. Object- Using the traffic lights module mounted on HBE-COMBO II, implement traffic lights controller easily accessible in our lives.- Traffic light..
    리포트 | 25페이지 | 3,000원 | 등록일 2011.07.06 | 수정일 2017.06.21
  • 파일확장자 전자회로실험 프로젝트 신호등
    제작 후기 ..PAGE:3 제작 동기 신호등의 동작 원리에 대한 이해 신호등 제작에 사용되는 각 소자들의 특성 및 사용 방법에 대한 이해 응용 회로 제작 시 활용 방안 ..PAGE: ... 설정회로신호와 같이 7-segment 디코더 드라이버로 들어감 7-segment 에서 신호등의 남은 시간 표현 ..PAGE:9 추가 회로회로도 ..PAGE:10 최종 완성 ... 추가 회로구성 2. 제작 방향 7. 카운터 회로도 3. 신호등 회로도 8. 최종완성 영상 4. 완성 사진 9. 역할 분담 5. 1차 결과 10.
    리포트 | 11페이지 | 3,000원 | 등록일 2008.12.11
  • 한글파일 4(사)거리 신호등 회로
    신호등 구현 사진 5. 문제점 및 고찰 6. 회로도 1. 설계 목표 ■4거리 신호등 설계 -시퀀스회로를 이용하여 설계. -디지털회로로 변환. 직접 제작. ... 디지털 신호등(카운터 이용) - 회로도 8. ... 고찰 회로도를 이용하여 신호등을 구현하는 것은 위의 회로도를 이용하여 구현하여서 무리 없이 설계 할 수 있었다.
    리포트 | 6페이지 | 10,000원 | 등록일 2009.06.03 | 수정일 2023.10.12
  • 한글파일 시퀀스회로를 사용한 신호등 설계 제안서
    설계 제안서 < 시퀀스회로를 사용한 4등 신호등 > ... ←“, ”녹색" 다음에는 “황색" 이 점등 3. 회로사진 < 전체 회로도 > < 1-3번 신호등 확대회로도 > ? ... 자기유지회로 - 자기유지회로는 푸시버튼 등의 순간동작으로 만들어진 입력신호가 계전기에 가해지면 입력신호가 제거되어도 계전기의 동작을 계속으로 지켜주는 회로이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2010.05.26
  • 파일확장자 [Flowrian] 신호등 제어 회로의 Verilog 설계 및 시뮬레이션 검증
    .- 타이머 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 신호등 제어 유한상태머신 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 최상위 신호등 제어 회로 : 구조수준 ... Verilog 설계 및 시뮬레이션 검증Verilog 언어를 이용하여 디지털 논리회로의 구조 설계를 배우려는 분에게 도움이 된다.
    리포트 | 16페이지 | 1,500원 | 등록일 2011.10.03
  • 한글파일 디지틀 논리 회로 - 사거리 신호등
    또 남쪽 신호등의 직진신호가 꺼지면 북쪽 신호등에 좌회전 신호가 들어온다. 그리고 노란불 후 빨간불이 켜진다. 이후에는 같은 방법으로 서쪽과 동쪽 신호등신호가 들어온다. ... 그러다 남쪽 신호등의 좌회전 신호가 꺼지고 노란불이 들어온 후 빨간불이 켜지면 북쪽 신호등에 직진신호가 들어온다. ... 사거리 신호등 G ○ R ● ● R ○ G R ● R ● ● R ○ ← G L 처음에는 남쪽 신호등에서 좌회전과 직진신호가 들어오고 나머지는 빨간불이 켜져 있다.
    리포트 | 9페이지 | 1,500원 | 등록일 2009.05.26
  • 한글파일 논리 회로를 이용한 교통 신호등의 제작
    기존의 교통 신호등에 ALL RED 시스템과 차량의 통행을 감지하는 시스템을 적용한 지능적인 사거리 교통 신호등을 논리 회로의 이론과 실험 시간에 익힌 경험으로 구현하고, 교통 신호 ... STATE DIAGRAM 1) 남북쪽 모두 차가 있을 때 2) 남북쪽에만 차가 있을 때 ... OUTPUT 값의 형태는 순차 논리 회로의 형태인 ‘COM'이고 Definitions나 State_diagram은 조합논리회로의 형태로 하는 등의 실수를 하였다.
    리포트 | 14페이지 | 5,000원 | 등록일 2007.11.07
  • 한글파일 [FPGA_전자회로] Full-Adder를 이용한 신호등 구현과 16 to 1 Mux 구현
    FPGA 레포트 1.신호등 1. ... 신호등 실험 파형 그림 지금 현재의 상태는 s0상태이다. ... YELLOW : RED; endmodule (1) 신호등 코드 (2) 신호등 테스트 벤치파일 코드 module tb_street; reg clk = 0; reg rst ,X ; wire
    리포트 | 10페이지 | 1,000원 | 등록일 2012.12.11
  • 파일확장자 [보고서+소스코드]아주대 논리회로실험 기말프로젝트 VHDL 신호등 설계(Traffic Lights) 보고서
    프로젝트의 목적 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 실생활에 쓰이는 신호등의 컨트롤러를 구현한다. ... 세부적인 계획은 우선 주어진 기본 신호등 동작 소스를 완벽히 이해하여 그것들을 응용하여 우리가 만들고자 하는 추가적인 기능들을 설계할 것이다. ... 기본적인 신호등의 기능을 직접 VHDL을 이용하여 코딩하고 시뮬레이션 해본 후 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 동작을
    리포트 | 30페이지 | 3,800원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 파워포인트파일 직접 설계한 시퀀스 4거리신호등 회로
    사용해볼 수 있음 회로도 (수정 전) (단순한 4등 신호등) 회로도 (수정 후) (횡단보도가 있는 4거리 신호등) MCCB or ELCB PB X1 X2 X3 X4 X5 X6 X1 ... 목표 시퀀스 회로를 이용하여 4거리 신호등을 설계 하고, 이를 직접 제작하여 그 동작을 확인한다. ... 4거리 신호등 설계 1조 목 차 설계 목표 주제 선정 배경 회로도(수정 전/후) 작동 원리 모형 타이머 및 릴레이의 접점별 핀번호 작품 사진 설계 일정 역할 분담 사용부품 고찰 설계
    리포트 | 18페이지 | 50,000원 | 등록일 2007.09.13 | 수정일 2014.01.14
  • 한글파일 IC소자를 이용한 디지털회로 설계 텀프로젝트-사거리 신호등
    구상작품의 동작 신호등의 점등은 빨, 빨·노, 초, 노·초, 빨 의 순서로 순환하도록 하였고 그에 따라 보행자 신호등도 빨, 초 의 순환을 하도록 하였다. ... 작품의 실제 구성 회로도를 작성하고 문제점 등을 생각한 후 브레드보드에 작품을 구성하였다. ... 작품 구상 LED를 사용하여 작품을 만들어 보고자 하다가 사거리의 신호등을 보고 직접 구현해 보고싶어 제작에 임하게 되었다. 2.
    리포트 | 4페이지 | 2,000원 | 등록일 2010.06.11
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업