• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,697)
  • 리포트(6,622)
  • 시험자료(488)
  • 방송통신대(302)
  • 자기소개서(244)
  • 논문(32)
  • ppt테마(5)
  • 서식(3)
  • 이력서(1)

"논리적코드" 검색결과 141-160 / 7,697건

  • 한글파일 전전설1 3주차 (비대면,예비,결과,전자전기컴퓨터설계실험) - Matlab을 이용한 전기회로 분석
    여기서 점의 개수를 늘려주고 싶다고 한다고 하면,아래와같다. 1),2) line code해석 t1의 경우 0부터 1까지 내에 균일한 간격의 점 1000개로 구성된 벡터를 만든다는 의미로 ... 이때 방식을 바꿔서 중간의 for문가운데에 ;를 없앰으로써 for문의 흐름이 내가 생각한 논리대로 흐르는게 맞는지 확인하기 위해서 ;를 넣은것과 안넣은 것으로 한번 시도해보았다. ... [결과창] [3-6] 다음의 MATLAB 코드의 각 라인의 의미를 설명하시오.
    리포트 | 24페이지 | 1,000원 | 등록일 2021.06.20 | 수정일 2021.06.30
  • 워드파일 10. 7-segment / Decoder 회로 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    추가로 여러가지 기호나 알파벳 문자를 코드화 하기도 하며 코드화 하는 것을 인코딩이라 한다. 인코더의 예시 중 하나인 8-to-2진 인코더의 진리표와 논리도는 다음과 같다. ... 인코더는 m 방향에서 오는 입력정보를 n 방향의 출력정보로서 내보내는 장치로 10진이나 8진의 숫자를 입력으로 받아들여 2진이나 BCD 와 같은 code 로 변환한다. ... BCD-to-10진 디코더의 진리표와 논리도는 다음과 같다.
    리포트 | 12페이지 | 1,000원 | 등록일 2022.11.01 | 수정일 2023.01.03
  • 워드파일 ncs직업기초 A받은 과제입니다!(1주차~10주차)
    코드(bar code)란 컴퓨터가 판독하기 쉽고 데이터를 빠르게 입력하기 위하여 굵기가 다른 검은 막대와 하얀 막대를 조합시켜 문자나 숫자를 코드화 한 것이다. ... 논리적 순위를 의미하지 않는다. [7] 확률실험에서 사상이란 무엇인가? ... 최근 유통업계가 QR코드 도입에 앞장서고 있는 것은 스마트폰 보급 확리
    리포트 | 44페이지 | 4,900원 | 등록일 2021.04.30 | 수정일 2023.06.18
  • 파일확장자 교량의 유지관리를 위한 멀티레이어 데이터베이스 개념
    Classification system of bridge component was used as a basis of the multi-layered database, and code ... 멀티레이어 데이터베이스란 표준화된 정보 레이어로 이루어진 논리적으로 통합된 데이터베이스를 말한다. ... 본 연구에서 제시한 교량의 멀티레이어 데이터베이스 개념은 구조요소의 분류를 나타내는 정보체계를 기반으로 하며, 구조요소의 분류체계에 따른 코드를 사용함으로써 여러 정보계층에 분포된
    논문 | 12페이지 | 4,300원 | 등록일 2023.04.05
  • 워드파일 아날로그 및 디지털회로설계실습 7주차 논리함수와 게이트 예비 리포트
    Thermometer code란 000, 001, 011, 111 이렇게 증가하는 코드를 말한다. ... 논리함수와 게이트 요약: 이번 보고서를 통해 논리함수와 게이트에 대해 학습했다. ... 결론: 이번 보고서를 통해 논리함수와 게이트에 대해 학습했다.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.09.02
  • 한글파일 디지털논리회로
    디지털 코드중 자보수코드(self-complement code)가 무엇인지를 설명하고 우리가 학습한 코드중 자보수 코드를 모두 조사하여 제시하시오. 1. ... 조합논리회로 1) 정의 - 논리곱, 논리합, 논리부정의 3가지 기본 논리회로를 조합하여 구성한 논리회로를 말한다. 2) 특징 - 임의의 시점에서의 출력값이 그 시점의 입력 값에 의해서만 ... 순서논리회로 1) 정의 - 조합논리회로의 FlipFlop을 갖고 구성한 논리회로이다. - 논리게이트 외에 메모리 장치 요소들도 사용하는데 순차회로의 출력은 메모리 요소들의 상태와 입력들의
    리포트 | 4페이지 | 5,000원 | 등록일 2018.07.14
  • 한글파일 조선대 데이터 통신 중간 범위 개인정리본, 보고 A+ 맞았습니다.
    파형 비트데이터 찾기 - Line coding NRZ ? ... 표 외우고 보면 딱 알 수있음 - Block coding 4B/5B 5장 변조기 ? 아날로그신호로 바꿔서 보내면 복조기 ? ... 통신망에 쓰이는 것 스위칭 : 적어도 2개의 링크를 연결한다, 네트워크에서 네트워크로 연결을 하는 역할 (사용하는곳 : 회로전환 네트워크, 패킷 교환망) tcp/ip 프로토콜 레이어 논리
    리포트 | 2페이지 | 1,500원 | 등록일 2019.06.28
  • 한글파일 아주대 논리회로실험 실험5 Decoder & Encoder 예비보고서
    10진수나 8진수의 입력을 받아 BCD, Excess-3와 같은 코드로 변환해주는 논리회로로 일반적으로 출력의 개수는 입력의 개수보다 작다. ... H H L L L H L L L L H L L L L H L L L L L H L H L H L L L L L L H H L H L L L L L L L H H H 3) BCD code ... 나머지 도 위처럼 BCD코드 입력을 십진수로 변환한 값에 해당하는 출력에만 Low(0)가 출력될 것이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(결과) / 2021년도(대면) / A+
    실험의 목적 Verilog HDL 언어의 기본 사용법을 익히고 디지털 논리회로를 설계하는 여러 가지 방법론을 학습한다. ... Result (1) [실습 1] bit operators를 이용하여 2-input AND 게이트 설계 Source code Testbench Pin testbench 시뮬레이션 결과 ... 비트 단위 연산자 Source code Testbench Pin testbench 시뮬레이션 결과 설계한 XOR Gate의 동작을 확인하는 모습 (위에서부터 차례로 입력 AB의 값이
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 워드파일 방통대 2021년 1학기 정보통신망 기말
    (1) Go-back-N ARQ (2) Sliding window protocol (3) Stop-and-wait ARQ (4) Hamming code N-프레임 후퇴(Go-back-N ... 가상회선 패킷 교환 방식은 데이터 전송이 이루어지기 이전에 송신자와 수신자 사이에 논리적인 경로(가상회선)를 설정하고 모든 패킷을 가상회선을 통해 전송하는 방식이다. ... 이 방식은 데이터 프레임에 잉여 비트를 추가하여 만든 오류정정 코드를 전송하는데, 이것을 이용하여 오류를 검출하고 정정까지 수행한다.
    방송통신대 | 4페이지 | 13,000원 | 등록일 2021.05.28
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab04(결과) / 2021년도(대면) / A+
    조합논리회로 모델링에 이용 b. ... Source code Testbench Pin testbench 시뮬레이션 결과 설계한 four-bit comparator의 동작을 확인하는 모습 (좌측에서부터 차례로 입력 a[3: ... Source code Testbench Pin testbench 시뮬레이션 결과 설계한 Half Adder의 동작을 확인하는 모습 (위에서부터 차례로 입력 AB의 값이 00, 01,
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 한글파일 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트
    Purpose Xilinx프로그램과 VHDL code를 이용해 기초적인 조합논리회로와 4 bit full adder & subtracter를 설계해 본다. ... 이를 통해 Xilinx프로그램과 VHDL code에 대해 익히고 4 bit full adder & subtracter의 작동원리에 대해 알아본다. 2. ... 설계한 4 bit 가/감산기를 Xilinx에 VHDL code로 만들고 다음 예제를 적용해 시뮬레이션 해보고 예상한 결과값이 나오는지 확인한다.
    리포트 | 53페이지 | 8,000원 | 등록일 2022.01.25 | 수정일 2022.02.08
  • 워드파일 한기대 컴퓨터공학입문 기말고사 내용 정리 / 이것만 외우면 A+ / 한국기술교육대학교 /
    -해밍 코드: 에러 정정 코드 중 가장 간단한 형태로 에러를 검출하고 자동으로 정정까지 해주는 코드 나머지는 전공 책 p. 218 ~ 220을 참고 (2) 각각의 논리 게이트의 표시 ... 컴퓨터 공학 입문 기말고사 내용 정리 (1) 해밍 코드의 다른 코드와의 특별한 기능? ... 체계를 갖는 IPv6으로의 업그레이드는 불가피하다. 2) IPv6의 특징과 기능 IP주소 확장 IPv4는 32비트를 사용 IPv6는 128비트로 대폭적 확장 IPv4는 A, B, C
    시험자료 | 9페이지 | 3,000원 | 등록일 2021.02.06
  • 워드파일 인하대 fpga 5주차 moore, mealy 보고서
    이부분은 논리적으로 a일때는 a’ , b일때는 b’ , c일때는 c’ 이런식으로 선언해야하는데 assign문에서 if문을 넣을 수 없다는 제약 조건 때문에 3항 연산자 () ? ... Moore Machine 1.code 2.RTL MAP 3.test bench 4.동작 확인 예제2. ... Mealy Machine 1.code 2.RTL Map 3. test bench 4. 동작확인 과제1.
    리포트 | 16페이지 | 3,000원 | 등록일 2020.07.07
  • 워드파일 운영체제 총 정리와 요약
    커널: 운영체제의 라이브러리 프로세스 현재 실행중인 프로그램 code(코드), data(데이터), heap(힙), stack(스택)으로 구성되어 있다. ... 쓰레드 프로세스 안에서 code(코드), data(데이터), heap(힙)을 공유하고 stack만 별도로 가지고 있다. stack만을 switching 하면 되므로 전환 속도가 빠르다 ... 메모리 참조의 부담 3, 페이지 테이블 저장 위한 공간이 늘어난다 주소 변환 CPU가 생성하는 논리주소를 페이지 테이블을 이용하는 주소변환기로 물리주소로 변환한다 8.
    시험자료 | 14페이지 | 1,500원 | 등록일 2021.07.22
  • 파일확장자 부산대학교 어드벤쳐디자인 8장 예비보고서
    (1) 그림 8.3에 나타난 2:1 MUX를 확장하여 4개의 데이터 입력, 2개의 제어입력 및 1개의 데이터 출력을 가지는 4:1 MUX를 설계하라.데이터 입력 A, B, C, D이고 ... 4:1 MUX를 사용해 논리회로를 설계하면 다음과 같다.(3) 8:1 MUX를 이용하여 다음의 논리함수에 대한 논리회로를 설계하라.        ... 논리함수에 대한 논리회로를 설계하라.         모든 항에 대하여 나타내면 다음과 같다.진리표를 구해보면 아래 표와 같다.진리표를 바탕으로
    리포트 | 7페이지 | 1,500원 | 등록일 2022.11.13
  • 한글파일 논리회로설계실험 라인트레이서 레포트
    test bench code 입력신호인 clk과 reset그리고 sen_in 값을 지정해주었다. reset이 1일 때 동작하므로 1ns 뒤부터 동작하는 신호를 넣어주었다. ... 논리회로설계 실험 설계프로젝트 보고서 주제 : 라인트레이서 설계 1. ... 실험(1)의 코드에서 바퀴로 동작하는 코드만 추가하였다.
    리포트 | 15페이지 | 7,000원 | 등록일 2021.10.09
  • 워드파일 논리회로설계실험 4주차 MUX 설계
    Experiment(실험 목적) 이번 실험의 목적은 4:1 MUX와 1:4 DEMUX를 강의 시간에 배운 2:1 MUX와 1:2 DEMUX의 modeling 방법과 skeleton code를 ... s1s0, s1s0를 구하였고, 각각을 and gate의 input으로 넣어 out1, out2, out3, out4를 구현하였다. 3.3) Testbench Testbench code는 ... 코드는 다음과 같다.
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.11
  • 한글파일 아주대 논리회로실험 실험10 DAC & ADC converter 예비보고서
    and to support them in following this code of ethics. ... 학 부: 전자공학부 제출일: 2020.11.15 과목명: 논리회로실험 교수명: 분 반: 학 번: 성 명: 실험10 예비보고서 - DAC & ADC converter - 1. ... R _{A} :R _{B} :R _{C} :R _{D} SIMEQ 8:4:2:1의 비율에 따라 BCD코드의 가중치가 정해질 것이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 워드파일 Verilog HDL을 이용한 Mu0 프로세서 구현 프로젝트 (코드, ModelSim결과 포함)
    또한 assembly 명령어들을 검증하는 code를 ModelSim을 이용하여 시뮬레이션 하여 Mu0를 검증한다. ... ALU: 산술논리연산을 하는 모듈로 control logic에 의해 주어진 신호 alufs에 의해 input값을 더할지, 뺄지, +1증가시킬지 결정하여 출력한다. ... , clk의 positive edge에서 값을 저장한다.
    리포트 | 16페이지 | 8,200원 | 등록일 2021.01.03
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업