• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,697)
  • 리포트(6,622)
  • 시험자료(488)
  • 방송통신대(302)
  • 자기소개서(244)
  • 논문(32)
  • ppt테마(5)
  • 서식(3)
  • 이력서(1)

"논리적코드" 검색결과 161-180 / 7,697건

  • 한글파일 정보기술과 디지털 기술
    문자나 기호의 이진 기호법 표현 위해 합의된 부호화(coding) 필요 - American National Standards Institute의 ASCII (American Standard ... Code for Information Interchange) 코드: 컴퓨터 시대의 시작 이래 가장 널리 사용. - 더 광범한 다양한 기호들과 문자들 다룰 수 있는 Unicode로 ... 연산(operation) 수행 : 페치-실행 주기(fetch-execute cycle). - 구성요소 : 연산을 수행하는 산술과 논리장치(arithmetic and logical
    시험자료 | 3페이지 | 1,500원 | 등록일 2020.01.03
  • 워드파일 7세그먼트FND디코더 verilog 설계
    1 0 0 1 0 0 1 1 1 0 d 1 1 0 1 0 1 1 1 1 0 1 E 1 1 1 0 1 0 0 1 1 1 1 F 1 1 1 1 1 0 0 0 1 1 1 Verilog 코드 ... module Fnd3(clk100Hz, bcd,fnda, fndb, fndc, fndd, fnde, fndf, fndg); input clk100Hz; integer m=0; input ... 실습결과 논리식 공통 음극 방식 7-세그먼트 디코더 진리표 10진수 입력(bcd[3:0]) 출력(fnd_data[7:0]) bcd[3] bcd[2] bcd[1] bcd[0] a b c
    리포트 | 4페이지 | 2,000원 | 등록일 2020.12.19
  • 워드파일 우선순위 인코더 verilog 설계
    d5’d4’d3+d5’d4’d2 a0 = d7+d6’d5+d6’d4’d3+d6’d4’d2’d1 Verilog 설계 1.우선순위 인코더를Verilog 또는 VHDL로 설계하고 다음에 코드
    리포트 | 3페이지 | 2,000원 | 등록일 2020.12.19
  • 워드파일 BCD가산기 verilog 설계
    실습 내용 실습결과 Verilog설계 - BCD 가산기의 Verilog 코드 기술 BCD_ADDER tb_BCD_ADDER module BCD_ADDER(A,B,C,RESULT); ... 제목 BCD 가산기 설계 실습 목적 BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다. ... 3:0] RESULT; BCD_ADDER tb(.A(A), .B(B), .C(C), .RESULT(RESULT)); initial begin $dumpfile("tb_BCD_ADDER_out.vcd
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 한글파일 컴구조 6장 4번부터 moi-4
    Location Hex code 200 3213 201 7080 202 3214 203 F200 204 4209 205 F800 206 F400 207 B215 208 6215 209 ... 두 개의 논리 피연자의 논리 exclusive-OR을 수행하는 프로그램을 작성하여라. y = x OPLUSy LDA Y CMA AND X CMA STA TMP LDA X CMA AND ... 표 6-23의 서비스 루틴 SRV를 등가한 16진 코드로 번역하라. 그 루틴은 영역 200부터 저장되어 있다고 가정한다.
    시험자료 | 5페이지 | 1,500원 | 등록일 2020.10.19 | 수정일 2021.06.02
  • 워드파일 4비트 CLA 가산기 verilog 설계
    Carry look ahead 가산기를 verilog로 설계한 코드 CLA_4bit.v tb_CLA_4bit.v module CLA_4bit( input [3:0] A,B , input ... ; assign C[1] = G[0] | (P[0] & C[0]); assign C[2] = G[1] | (P[1] & G[0]) | (P[1] & P[0] & C[0]); assign ... Cin, output [3:0] S, output Cout ); wire [3:0] G,P,C; PG pg(A, B, P,G); Carry c(G,P,Cin,C,Cout); Sum
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 워드파일 크기비교기 verilog 설계
    이 회로는 조합논리회로이며 두 수를 비교한다. 입력 a와 b는 서로 상대적인 크기를 결정하여 a>b, a=b, a
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 워드파일 패리티체크 verilog 설계
    (^data)}; end endtask always @ (data_in) begin check(data_in,error); end endmodule `timescale 1ns/10ps ... tb_ParityCheck.v module ParityCheck(data_in,error); input[7:0] data_in; output error; reg error; task check
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 워드파일 병렬-직렬 변환회로 verilog 설계
    병렬-직렬 변환회로를verilog로 설계한 코드 spConverter.v tb_spConverter.v module spConverter (clk,clear, si, qout); input ... clk,si,clear; output [7:0] qout; reg [7:0] din,qout; always @(posedge clk) if(clear) din
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 파일확장자 서강대학교 디지털논리회로실험 3주차 결과보고서
    Decoder는 하나의 code체계를 다른 code 체계로 변환하는 논리회로이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.02
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서9
    위의 실험은 7-segment를 동작시키기 위하여 데이터를 BCD code로 바꾸는 것이다. ... BCD code는 쉽게 말해 2진수로 생각할 수 있으며 클럭이 주어 질 때마다 값이 0에서 9까지 숫자로 바뀌 며 순환함을 알 수 있다. 2-2 실험을 위하여 반드시 데이터는 2진수로 ... 학 부: 전자공학부 제출일: 과목명: 논리회로실험 교수명: 조교명: 분 반했다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 파워포인트파일 C언어 중간고사 정리 A+자료
    프로그램 작성방법 및 실습 - C coding: include stdio.h main () { ……. return 0; } - compiling, linking, exe file ... 구성 - 어셈블리어 : 이진 코드 명령어를 사람이 바로 해석 가능한 심볼로 대체한 것으로 어셈블러를 통하여 기계 코드 작성 - 고급언어 : 사람에게 친숙하도록 만든 언어로 컴파일러를 ... 논리 연산자 : AND ( ), OR (||), NOT (!) - 논리식 표현에서 잘못 된 표현 : 5 x 10  (5 x) (x 10) - 조건 연산자  조건식 ?
    시험자료 | 15페이지 | 1,500원 | 등록일 2019.05.19 | 수정일 2019.07.03
  • 한글파일 자문 자답
    그리고 공통 상품 코드(universal product code)와 슈퍼마켓 계산대에서의 코드 해독 방법(scanning)이 개발됨에 따라 관찰법은 과거보다 훨씬 더 중요한 자료수집방법이 ... 개발시키고, 유지하고, 활용하는 데 있어서 관리자들은 다양한 문화 안에서 능력을 발휘할 수 있어야 하며 이문화 문제가 발생했을 때 문제를 해결할 수 있게 하는 이문화 적응 스킬(cross-cultural ... 에서는 ‘언어, 상상, 도표, 행렬들은 논리적으로 동등하지만 변형 방법이 다양하며, 각자에게 어울리는 방법이 있다’라고 이야기하고 있다.
    리포트 | 7페이지 | 3,000원 | 등록일 2022.03.13
  • 한글파일 사회복지조사 과정 중 조사도구 개발 개념화와 조작화를 사례를 활용하여 설명하시오
    자료수집→편집(editing)→부호화지침서(codebook)에 따른 부호화(coding)→입력(inputing)→오류수정(data cleaning)→자료분석→자료해석 6) 보고서 작성 ... 설문지 설계에 따라 수집된 데이터를 편집, 분류, 코드 및 입력하는 다양한 통계 기법을 사용하여 데이터를 분석하고 해석하는 단계 ? ... -정확성, 명확성, 간결성, 포괄성, 시스템성, 논리성 - 학술보고서 - 실질적인 목표 보고서 3.
    리포트 | 6페이지 | 5,000원 | 등록일 2021.04.13
  • 한글파일 시스템을 보호하기 위해 여러가지 암호화 방법을 사용하고 있습니다. 또한 이를 위협하기 위해 여러가지 기법들도 나와 있습니다. 보안 위협의 형태에 대해 조사하고 암호화 기법 알고리즘에 대해 조사하세요.
    메시지 인증 코드(MAC;Message Authentication code) 사용 ? 데이지 않음 ⇒ 컴퓨터 바이러스와 구별 ◆ 프로그램 실행 ⇒ 하드디스크의 파일 삭제 ? ... 하드웨어의 논리적 파괴 가능 ? 컴퓨터의 하드웨어 작동 필요 ? 자기 복제(증식) 기능 ? 은폐기능 ? 파괴 기능 ■ 웜 ◆ 바이러스 또는 복제코드의 일종 ? ... 프 않는 악성코드이다. ?
    리포트 | 16페이지 | 3,000원 | 등록일 2020.06.23
  • 한글파일 명목, 서열, 등간, 비율 수준에서 측정된 설문 문항의 예를 각각 2개씩 제시하시오
    우리가 할당한 부호 숫자(code nominal)가 무엇이든 간에 그 부호는 높음과 낮음이 될 수 없고 수량이 아닌 오직 이름을 일컫는다. ... 그러나 측정의 다른 차원들과 다르게 명목변수 코드숫자는 양적인 의미를 갖지 않는다. 그들은 오직 질적인 차이를 나타내는 편리한 도구일 뿐이다. ... 서열측정(Ordinal Measures) 속성들이 논리적으로 배열 순서상 질서(ra-ordered)를 갖는 변수가 서열측정(ordinal measures)이다.
    리포트 | 6페이지 | 3,500원 | 등록일 2020.07.29
  • 한글파일 2024년 국민건강보험 일산병원 신규간호사 합격자소서+설명(스펙, 인증O)
    code1=3000&code2=3100&code3=3110 병원 소개 및 비전 "수준 높은 의료서비스, 적정진료 통한 국민의 신뢰와 사랑을 받는 건강보험 모델병원" *미션 - 국민의 ... 보건복지부 의료질평가 1등급 획득 - 국민건강보험공단 일산병원만의 특색을 바탕으로 지원 이유 서술 - 입사 후 자신의 목표 - 구체적인 추진 계획(첫째, 둘째 등의 표지를 활용해 논리정연하게 ... 건보일산병원 합격 자소서 항목별 작성가이드+스펙 (합격인증 O) < 국민건강보험 일산병원 > https://nhimc.recruiter.co.kr/appsite/company/callSubPage
    자기소개서 | 9페이지 | 5,000원 | 등록일 2023.12.13
  • 한글파일 2023 국립암센터 간호사 자소서 (병원조사o)
    code1=3000&code2=3100&code3=3110 ) 소개 정부는 암으로 인한 개인적 고통과 피해 및 사회적 부담을 줄이고 국민건강증진에 이바지하는 것을 목적으로 국가암관리종합계획을 ... /appsite/company/callSubPage? ... 학교나 직장 또는 기타 단체에서 어떤 문제상황이 발생하였을 경우, 창조적이고 논리적인 사고를 통하여 이를 해결해본 경험이 있으면 자세하게 작성해주십시오 (문제해결능력) 633 “환자를
    자기소개서 | 7페이지 | 5,000원 | 등록일 2023.07.27 | 수정일 2023.08.03
  • 워드파일 시립대 전전설2 Velilog 예비리포트 3주차
    시뮬레이션 결과 4) 4bit Full Adder –4 1bit Full Adder (Behavioral Modeling) code 시뮬레이션 결과 참고 문헌 전전설 교안 ... Full Adder – 2 1bit Full Adder (Behavioral Modeling) + 1bit Full Adder (Gate Primitive Modeling) - code ... 배경 이론 1) Verilog HDL 문법 - 참고문헌 1) 참고 2) AND Gate - 출력은 논리 입력의 곱과 같음 3) NAND Gate - AND 게이트와 NOT 연산을 조합한
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.16
  • 한글파일 동아리 활동 특기사항 생기부 기재 예문입니다. 유용하게 사용하시길 바랍니다.
    컴퓨터 동아리(26시간) 컴퓨터에 관한 언어, 부품, 프로그램 등에 관심이 많아 점심시간을 이용하여 동아리방에 와서 C언어를 배우고 code up이라는 사이트에서 문제를 해결해 봄. ... 컴퓨터 동아리(34시간) 1학기에는 웹 팀의 팀장을 맡아 팀원들에게 html, CSS와 java script를 가르치고 유튜브 같은 유명 사이트의 코드를 추출하고 분석하여 움직이는 ... 자율 동아리: 더불어 말하기를 좋아하며 특히 근거를 제시하여 논리적으로 말하기에 관심이 많아서 월별 공통 주제에 관한 토론 말하기에서 항상 자신의 의견을 제시함.
    리포트 | 16페이지 | 10,000원 | 등록일 2023.10.01
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업