• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,697)
  • 리포트(6,622)
  • 시험자료(488)
  • 방송통신대(302)
  • 자기소개서(244)
  • 논문(32)
  • ppt테마(5)
  • 서식(3)
  • 이력서(1)

"논리적코드" 검색결과 201-220 / 7,697건

  • 워드파일 연산자의 종류와 구분
    C++에서는 논리곱(&&), 논리합(||), 논리부정(!) 연산자가 사용된다. ... 아래 코드에서는 C언어를 사용하여 각 연산자의 동작을 보여준다. ... 연산자를 활용한 완성된 프로그램 아래 코드는 C언어를 사용하여 입력된 숫자의 절대값을 구하는 프로그램이다.
    리포트 | 9페이지 | 3,000원 | 등록일 2023.07.18
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서4
    CDMA (code-division multiple access) ; 코드분할 다중접속 CDMA[씨디 에메이]는 세 가지 무선전화 송신기술 중 하나로서 GSM 또는 그와 비슷한 TDMA와는 ... 학 부: 전자공학부 제출일: 과목명: 논리회로실험 교수명: 조교명: 분 반었다. ... 여러 통화가 하나의 채널에 겹쳐지게 되며, 각 통화는 차례를 나타내는 고유한 코드가 부여된다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • 워드파일 기계시스템설계 과제3
    좌상단의 code를 실행하면 우상단과 같이 복소수 값이 출력된다. ... ). 4.47 Minimize Subject to Sol) 아래와 같은 MATLAB code를 이용하면 그 다음과 같은 결과를 얻을 수 있다. ... problems. 4.62 Minimize Subject to Sol) 아래와 같은 MATLAB code를 이용하면 그 다음과 같은 결과를 얻을 수 있다.
    리포트 | 6페이지 | 2,000원 | 등록일 2019.12.08
  • 워드파일 Vivado를 이용한 Moore, Mealy FSM 설계 결과레포트
    처음에 원하는 논리대로 동작하지 않는 것을 보고 오류를 찾았는데 프로그램을 다시 다운받은 후 reset과 clk, x 입력을 순서에 맞게 조작해 올바른 결과를 얻었다. ... 실험 결과 1) Moore machine - verilog 코드 - testbench 코드 - simulation 결과 2) Mealy machine - verilog 코드 - testbench ... 코드 - simulation 결과 3.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • 워드파일 대한항공 마일리지 이슈
    대한항공과 공동운항(code share·코드쉐어)하는 항공기에 탑승해도 대한항공 편명으로 발권하지 않으면 인정하지 않는다. ... 이 때문에 유효기간을 도입해도 소비자 권리 침해가 아니라는 논리다.
    리포트 | 4페이지 | 2,000원 | 등록일 2020.11.24 | 수정일 2020.11.27
  • 한글파일 [김영평생교육원] - C언어 과제
    이 글에서는 산술연산자, 논리연산자, 관계연산자, 그리고 3항 연산자에 대해 설명하고, 각 연산자의 예시를 제공하며 C 언어로 작성된 실행 가능한 코드를 함께 제시하겠습니다. ... 예시 코드: ```c #include int main() { int num = 5; char* result = (num % 2 == 0) ? ... 결론 이렇게 산술연산자, 논리연산자, 관계연산자, 그리고 3항 연산자를 설명하고, 각각의 예시를 C 언어로 제시했습니다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.10.20 | 수정일 2023.11.01
  • 워드파일 인코더와 디코더 회로 예비 보고서(고찰포함)A+
    디코더 디코더는 코드화 된 입력을 출력으로 변환하는 다중-입력,다중-출력 논리회로이다. 입력코드의 수는 일반적으로 출력코드보다 적은 수를 가진다. ... 같고 이는 7-세그먼트의 입력이 된다. 7개의 출력에 대한 논리함수를 구해보면 다음과 같은 부울함수로 표시된다. ... 회로의 enable 입력이 존재한다면 반드시 정상적인 논리출력을 얻기 위해서는 enable 신호가 회로에 인가되어야 한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2024.04.19 | 수정일 2024.04.21
  • 파워포인트파일 기초전자회로및실험2 -ALUs(Arithmetic logic units)를 이용한 n-bit 계산기 설계
    code 7Segment PSPICE 결과 3 PSPICE Simulation( 출력 ) PSPICE 결과 3 PSPICE Simulation( 출력 ) 최종 3 최종 결과 Q A ... 개요 여러 가지 연산을 수행하는 논리 회로를 통해서 PCB 기판의 규격에 맞는 계산기의 회로를 만들고 구현한다 . 1. 입력 : DIP 스위치를 이용해 10 진수 입력 구현 2. ... C ONTENTS 01 개요 02 설계 이론 및 PSPICE 결과 03 Q A 개 요 1 1 .
    리포트 | 15페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 파워포인트파일 제대로 된 통역/번역의 이해 -제 2장 커뮤니케이션의 이해 발표 및 정리 ppt
    수화 ( 시각부호작용 ) 언어학적 코드 모델 (linguistic code model) 언어는 하나의 부호체계 부호화와 탈 부호화 과정의 연속 정보의도 도출 부호화 사인 or 신호 ... 명시적 비명시적 / 암시적 함축화 (implication) 전달 방식 논리력 or 화용적 지식 통해 의미 도출 추론행위 (inference-making) 2. ... 언어적 커뮤니케이션 (verbal communication) 비 언어적 커뮤니케이션 (non-verbal communication) Ex) 보디 랭귀지 , 제스쳐 , 얼굴표정 Ex)
    리포트 | 25페이지 | 4,000원 | 등록일 2019.10.07
  • 워드파일 논리회로의 간소화, 멀티플렉서를 이용한 조합논리 예비레포트
    실험 방법 1) 논리회로의 간소화 - 무효 BCD-코드 감지기 그림 8-3은 실험 3 그림 3-1의 시스템에 무효 BCD-코드 감지기를 추가한 알약 계수 제어 시스템을 보여주고 있다 ... 실험 목적 1) 논리회로의 간소화 - 무효 BCD-코드 감지기에 대한 진리표 작성 - Karnaugh 맵을 이용한 표현식의 간소화 - 간소화된 표현식을 구현하는 회로의 구성 및 시험 ... 레지스터 A에는 유효한 BCD 코드만이 존재하도록 하고 무효 BCD 코드가 감지되면 경고 신호가 나온다.
    리포트 | 8페이지 | 1,500원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 한글파일 광운대학교 전기공학실험 M2. 아날로그 및 디지털 기초 회로 응용 결과레포트 [참고용]
    그 이후 버튼과 논리회로를 직접 연결하지 않고, 아두이노를 통해 스위칭 입력을 받은 후 논리게이트에 스위칭 정보를 전달해준다. ... 가산기 출력값 측정 프로그램에서는 스위칭 역할을 위한 코드에 if -else 조건문을 사용하고, Input(A~C0), ouput(Summ, Carry)등의 변수명 설정을 통해 직관적인 ... 그 후 논리게이트 출렵값을 디지털 입력으로 받아서 시리얼 모니터로 측정하는 방식으로 실험을 진행한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.02
  • 한글파일 조합 논리회로와 순서 논리회로의 종류 및 특징(회로) 조사
    cart_no=&class_code=45&class_gubun=&open_class_file_no=858 ... /incom79_view/certif_haeksim_jungri.asp? ... 조합 논리회로 (1) 정의 : 출력이 현재 시점에서 회로 입력값만으로 결정되는 것을 조합 논리회로라고 한다. (2) 특징 - 논리 게이트들로 구성되어 있다. - 출력값이 0과 1의
    리포트 | 4페이지 | 1,000원 | 등록일 2020.12.16
  • 워드파일 A0 [한국외대] 여성과사회 - 여성 관련 핫이슈 찬반 토론
    code=*************559772 미디어오늘, http://www.mediatoday.co.kr/news/articleView.html?idxno=202364 ... 여성 관련 핫이슈 찬반토론 여성전용시설(지하철, 주차장)에 대한 찬성 또는 반대의 입장과 그 이유와 논리(700자 내외) 여성전용 시설 중 지하철 여성전용칸이 있어야 한다는데 찬성한다 ... 부산일보, http://www.busan.com/view/busan/view.php?
    리포트 | 1페이지 | 2,000원 | 등록일 2021.07.13
  • 한글파일 동기식,비동기식 카운터 예비레포트
    실험 방법 1) 6-mod State diagram을 갖는 Gray code synchrnous counter를 설계한다. 2) 실험 회로를 구성한다. 3) QA, QB, QC 의 ... 카르노 맵이 완성되면 맵으로부터 논리를 찾아낼 수 있다. 이 논리를 이용하여회로를 구성한다. ... 이러한 변화를 일으키는 논리 요소들을 karnaugh map에 옮길 것이다. 이 경우 조합 논리회로에서 행하였던 karnaugh map과는 다른 의미를 갖는다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.21
  • 한글파일 4차 산업혁명 시대의 컴퓨터 개론(개정판) 1~4 단원 솔루션
    “모든 미국 사람들은 코딩(coding)을 배워야 한다. 코딩은 생각하는 방법을 가르쳐주기 때문이다"란 말을 남긴 사람은 (스티브 잡스)이다. 8. ... 각 논리 연산자를 정리하고 이것이 컴퓨터에서 중요하게 쓰이는 이유가 무엇인지를 설명하시오. → 논리 연산자로 논리합 회로, 논리곱 회로, 논리부정 회로 등이 있다. 2진 정보를 취급하며 ... chapter 01 정오식 문제 1. 디지털 혁명은 아날로그에서 디지털로의 변환을 의미한다. O 2.
    시험자료 | 12페이지 | 2,000원 | 등록일 2020.04.22 | 수정일 2022.05.11
  • 한글파일 1. 2강에서 나온 이벤트 플래닝의 과정을 참고하여, 내가 이벤트 플래너라고 생각하고 셀리브레이션 이벤트 할인자료
    제목: 21세기 new norm code, ‘싱글 웨딩’ -목차- 1. 21세기 new norm code, ‘싱글 웨딩’ (1) ‘싱글웨딩’이란? ... (6) ‘싱글 웨딩’ 장소 정하기(Where) (7) ‘싱글 웨딩’의 진행 방식과 그에 따른 예산 기획하기(How & How much) *참고 자료 1. 21세기 new norm code ... 플래너라고 생각하고 셀리브레이션 이벤트 (교재 13장 참고) 시장과 관련된 이벤트를 구상하고, 그 이벤트에 대한 구체적인 설명(어떤 이벤트인지)과 해당 이벤트를 개발하고자 하는 논리적인
    방송통신대 | 7페이지 | 3,800원 (5%↓) 3610원 | 등록일 2020.06.10
  • 파일확장자 한양대 Decoder & Encoder
    관련 이론Encoder는 부호기라는 뜻으로, 10진수를 binary 2진수로 암호화 시켜주는 논리 회로이다. ... 또한, 신호를 디지털 부호로 코드화하여 전송할 때, 코드화됐던 신호를 원래의 형태로 되돌리는 회로이다. 2^n개의 입력과 n개의 출력 조합으로 구성되어 있다. ... Encoder과 반대로 암호화 시키는 것이 아닌, 2진수로 되어 있는 데이터를 복원시키는 논리 회로이다. n개의 입력과 2^n개의 출력으로 구성되어 있다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.21
  • 워드파일 [A+ 레포트] Nicholas Carr의 "IT does Matter"
    artid=*************01&code=920100 (삼성 조직문화 혁신) ... artid=*************01&code=920100" http://biz.khan.co.kr/khan_art_view.html? ... 앞서 말한 IT에 대한 정의 문제를 차치한다 하더라도, Carr의 주장에는 논리적 허점들이 존재한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.02.02 | 수정일 2021.03.11
  • 워드파일 FPGA Board를 이용한 FSM회로의 구현 (up-counter) 결과레포트
    그에 비해 Verilog HDL과 FPGA를 이용해 카운터를 설계할 때는 count = count + 1; 과 같이 간단한 코드논리를 만들 수 있어서 간편했다. ... 모듈 코드에서 if(reset==1) count=4’b0000; 코드를 always문 밖에 작성하면 비동기 초기화를 할 수 있을 것으로 생각된다. ... 모듈 코드를 작성할 때에 reset을 비동기 입력이 아닌 동기 입력으로 clk가 상승 에지일 때 작동하도록 했기 때문에 FPGA 보드를 이용해 reset 동작을 할 때에도 reset
    리포트 | 2페이지 | 1,000원 | 등록일 2022.11.06
  • 파일확장자 컴퓨터활용능력 1급 필기 - 1과목
    데이터의 분류구분디지털 컴퓨터아날로그 컴퓨터입력· 숫자 및 문자· 비연속적· 전류 및 전압· 연속적출력· 숫자 및 문자· 그래프 및 곡선연산· 사칙 및 논리 연산· 미적분 연산프로그래밍 ... · 필요· 불필요회로· 논리 회로(직선)· 증폭 회로(곡선)속도· 느림· 빠름기억 기능· 있음· 없음용도· 다양하게 사용(범용)· 특수 목적에 사용(전용)정밀도· 필요한 용도까지· ... 교환용 코드확장 ASCII코드· 8비트로 256개의 문자 표현 가능EBCDIC코드· 총 8비트 구성· 총 256개의 문자 표현 가능· 대형 컴퓨터에서 사용유니코드· 총 16비트·
    시험자료 | 26페이지 | 2,000원 | 등록일 2024.04.25
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업