• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

5주차 결과 보고서 D Flip-Flop

*명*
최초 등록일
2014.03.26
최종 저작일
2013.10
7페이지/한글파일 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

목차

1. D Flip-Flop
2. 8bit Register

본문내용

Library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity dff is -- 설계의 입출력 선언
port( d : in std_logic;
clk : in std_logic;
q , qb : out std_logic);
-- D flip-flop에 사용되는 Input d , clk 는 1bit signal을 가지고 Output
q , qb 또한 1but signal을 가지므로 std_logic 형태를 선언한다.
-- d는 입력되는 데이터값 , clk는 신호 변화의 기준 , q 와 qb는 clk값의
변화에 따라 d값을 저장하기도 하고 '0'이 되기도 한다. end dff;

<중 략>

CLK를 상승 Edge Trigger일 때의 경우를 실험하였는데, clk = '1' and clk'event 일 때 D의 값이 Delay 되어 Q값에 저장이 되는 것을 잘 표현할 수 있었다. 또한 이번에는 TestBench를 Coding할 때, 시간에 따른 Input 값을 입력할 때 , 지금까지 wait for를 주로 써왔는데 clk를 10ns 단위로 ‘0‘과 ’1‘을 계속해서 반복함을 나타내기 위해 after를 사용해보았는데 매우 간단했다. 그러나 wait for를 사용할 때와는 달리 D값을 after는 시간을 누적해서 입력을 해주어야 해서 wait for보다 조금 헷갈리는 부분이 많이 있었다.

참고 자료

없음
*명*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

더보기
최근 본 자료더보기
탑툰 이벤트
5주차 결과 보고서 D Flip-Flop
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업