*명*
개인
판매자 정보
- 학교정보
-
입력된 정보가 없습니다.
- 직장정보
-
입력된 정보가 없습니다.
- 자격증
-
판매지수
-
- 판매중 자료수
- 8개
-
- 전체 판매량
- 13개
-
- 최근 3개월 판매량
- 0개
-
- 자료후기 점수
- -
-
- 자료문의 응답률
- -
전체자료 8개
-
-
9주차 결과 보고서 7-Segment
-
library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity segment_7 is -- 설계의 입출력 선언
port(c..
-
공학/기술|
2014.03.26|
5페이지| 1,000원|
조회(63)
-
미리보기
-
-
8주차 결과 보고서 클럭 분주기
-
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity clkdivider_10 is -- 설계의 입출력 선언
port( clk : in st..
-
공학/기술|
2014.03.26|
4페이지| 1,000원|
조회(128)
-
미리보기
-
-
7주차 결과 보고서 FSM(Finite State Machine)
-
Library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity FSM is -- 설계의 입출력 선언
port( clk : in std_logic;
reset : in std_logi..
-
공학/기술|
2014.03.26|
6페이지| 1,000원|
조회(67)
-
미리보기
-
-
6주차 결과 보고서 Synchronous Counter
-
Library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity syn..
-
공학/기술|
2014.03.26|
13페이지| 1,000원|
조회(65)
-
미리보기
-
-
5주차 결과 보고서 D Flip-Flop
-
Library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity dff..
-
공학/기술|
2014.03.26|
7페이지| 1,000원|
조회(47)
-
미리보기
전체보기