• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL의 활용(_디지털시계의 설계)

*도*
개인인증판매자스토어
최초 등록일
2010.06.13
최종 저작일
2010.06
12페이지/한글파일 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

VHDL의 활용입니다.

디지털시계의 설계에 관한 언어입니다.

목차

▣ 제1절 목표,구성 및 동작
▣ 제2절 하드웨어 구조
▣ 제3절 VHDL code 분석

본문내용

VHDL의 활용
[ 디지털시계(digital watch)의 설계]


▣ 제1절 목표,구성 및 동작
■ 설계의 목표
▪ 시간(time)표시 기능, 시간수정, 스톱워치(stop watch) 기능의 디지털 시계 설계
▪ 모드선택과 시간수정은 버튼이용, 시간표시는 7_segment이용
■ 구성 요소
▪ 클럭(clock) : 1kHz의 주파수
▪ 버튼 : 모드 선택버튼(1개), 설정 버튼(2개)
▪ 7_segment : 시간표시, 스톱워치 시간 표시(8개)
▪ LED : 모드의 표시(4개)
■ 디지털시계의 동작
▪ 모드선택 : 시간표시, stop_watch, 초/분/시 설정모드가 반복하면 설정
▪ 시간표시 : 1kHz의 클럭을 분주하여 1초의 클럭을 만들고, 이를 카운트하여 시/분/초 표시
▪ stop_watch :
- 1kHz의 클럭을 분주하여 1/100초의 클럭을 만들고 카운트하여 1/100초 표시
- stop_watch의 동작은 설정버튼1을 누르면 카운트 시작, 다시 누르면 일시 중지
- 설정버튼2를 누르면 카운트 값은 0으로 초기화되며, 카운트 정지
▪ 시간설정 : 동작모드를 시간설정 모드로 하고,
- 초 설정은 설정버튼1를 누르면 초의 값이 초기화
- 분 설정은 설정버튼1를 누르면 분의 값이 1씩 증가
- 시 설정은 설정버튼1를 누르면 시의 값이 1씩 증가

참고 자료

없음

자료후기(1)

*도*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 워드파일 디지털 시계 실습 vhdl 레포트 (12,60진 카운터) 19페이지
    디지털 시계를 구성하기 위해 component 문을 활용하여 설계를 하게 ... Vhdl를 이용한 코드 출력 결과 디지털 시계 이론적 배경 Vhdl를 이용해 ... 설계 과정 다음은 디지털 시계의 전체 구조를 schmatic 으로 본 것이다
  • 한글파일 VHDL 디지털 시계 digital watch 15페이지
    구문을 활용하여 1초마다 시간이 흐르는 디지털 시계설계한다. 2. ... 실습목적디지털 타이머에 필요한 카운터들을 직접 만들어 보고, Component ... Top Entity로 Schematic을 사용할지 VHDL을 사용할 지는
  • 워드파일 [논리회로설계실험]VHDL활용한 CLOCK설계 12페이지
    배경이론(Background) 1)digital clock FPGA를 이용하여 ... FPGA 활용 제품들 References Hyperlink "https:/ ... 설명 및 이해 이번 실습에서는 자일링스의 사용법을 바탕으로 clock을 설계해보았다
  • 한글파일 vhdl 디지털 탁상 시계 설계 34페이지
    VHDL 디지털 탁상 시계 구현. ● 동작설명 : 현재 시각 표시(7-segment ... 탁상시계는 없으므로 아이디어 상품으로 판단. ● 문헌조사 : VHDL 프로그래밍 ... 아이디어 상품으로 틈새시장을 공략할 수 있을 것으로 판단된다. ● 이 기능을 활용하여
  • 한글파일 디지털 시스템 설계 / VHDL활용디지털 시계(기능 막강) 17페이지
    개요 이번 디지털 시계를 만드는 과제는 디지털 공학2의 최종 결정판으로 써 ... 교재(디지털 공학) / 실험 등에서 배운 PLD 를 활용하여 만드는 것이다 ... PLD (Programable Logic Device) : 디지털 시계
더보기
최근 본 자료더보기
탑툰 이벤트
VHDL의 활용(_디지털시계의 설계)
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업