• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

디지털 시스템 설계 / VHDL을 활용한 디지털 시계(기능 막강)

*명*
최초 등록일
2007.11.18
최종 저작일
2006.06
17페이지/한글파일 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

< 순 서 >

1. 개 요

2. 설 계 / 회로도

3. V H D L 소 스

4. 동 작 원 리

5. 사진 / 기타자료

6. 제 작 후 기

(1) 처음 전원을 켯을 때,
가장 먼저 키게 되면 0000 초기화가 되며, 시간은 계속
가게된다. 1분이 지나면 0001이 표시가 될 것이다.
시간이 계속 가게 되면서 0002 최대 2359까지 가며
결국 0000으로 돌아 온다. 매시간 마다 알람(LED)이
켜진다.

(2) SETUP 스위치를 누를 경우,

SETUP 스위치는 SW4 로써, 눌렀을 경우 상태가 바뀐다.

처음 눌렀을 경우, 스톱위치 기능으로 바뀐다.

현재는 스톱위치 기능(SW_START=1) 설정으로

SW4스위치를 누르면 현재의 초시각을 알수 있다.

현재 세그먼트가 4개이기 때문에 초를 나타낼수 없으므로

STATE 머신을 사용하여 SW4 키를 누르면 현재의 초

의 십단위 초의 일단위 10분의 1초, 100분의 일초가

차례대로 세그먼트 4자리 표시가 된다.

만약, VHDL 소스를 참고 하면 스톱워치 기능
(SW_START=0)으로 만들 경우 스톱워치로써도 사용이
가능하다. 만약 0으로 활성화 시키면 SW6을 누르고
있으면 스톱워치가 증가하고 땟을 경우 멈추며
SW7번을 누르면 RESET 0000이 된다.
SW_START 활성화 등을 분리 시킬수 있으나,
시계의 기본적인 기능 초에 대해 더 표시하는 것이
의미가 있고, 칩의 용량 한계상 구현이 힘들어
두가지의 경우로써 제작을 할수 있었다.

결국, 정리 하면 현재 시계를 켜게 되면 00:00부터 시간을
볼수 있으며, 초를 확인하고 싶을 경우 SW4 키를
한번 누르면 초를 확인할수 있다.

만약, 스톱위치 기능(SW_START=0) 으로 활성화 시키면
스톱워치처럼 시간을 눌렀다 때서 젤수 있는 특수
기능을 할수 있다.

현재의 상태, 즉 초단위가 보이는 상태를 확인하는 방법은
D9번과 D8번 LED를 확인하면 된다. 00->01로 D8번 불이
꺼진다.
(3) SETUP 스위치를 다시 누를 경우,
STATE 머신을 활용한 상태 변화 로써, SW4를 또 눌렀을
경우, 이번에는 시간 조절 / 알람 기능 MODE 상태로
들어오게 된다.
SW8, SW9 SW10번을 눌렀을 경우 각각 분, 시간을
수정 할수 있다. 이것이 알람 설정이며, SW11번 키를
누르면 현재의 시간을 아까한 수정 시간으로 최종
대체가 된다.
알람과 현재시간 설정을 공유하는 코딩 방법을 택하였는데,
이유는 프로그램 용량 때문이다.(칩이 용량이 어느정도
크면 받아들이지 못한다.)
알람의 표현은 PCB 기판 받을때 부저가 제외되어서 따로
달지 않고 LED로 대체 하였다.
현재 D7번 LED가 알람을 표시해 준다.
즉, 자기가 원하는 시간을 설정하면 그것이 바로
알람 시간이 설정 된 것이며, 자기가 설정한 시간이
되면, 10초동안 부저가 울리게 되고
(현재는 LED가 깜박깜박 거린다.)
참고) 또 하나의 알람 기능 : 매시 정각마다 LED는 깜빡
거린다.(4번)
만약 자신이 현재 시간 설정을 원한다면 원하는 시간
설정후 SW11번 키를 누르면 시간이 설정되어 저장 된다.
이때 다시 SETUP0 상태 SW4키를 누르면 DISPLAY확인
가능하다.(현재 설정한 시간 확인 가능)
현재의 시간 설정 / 알람기능 MODE가 선택 된 것은
D9, D8 LED로 상태 확인이 가능하다. 01->10으로 D8번
불이 꺼지고 D9번 불이 켜진다.

컴파일 실행환경

VHDL

참고 자료

없음

자료후기(5)

5개 리뷰 평점
  • A+최고예요
    1
  • A좋아요
    3
  • B괜찮아요
    1
  • C아쉬워요
    0
  • D별로예요
    0
*명*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우
최근 본 자료더보기
탑툰 이벤트
디지털 시스템 설계 / VHDL을 활용한 디지털 시계(기능 막강)
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업