• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL - 디지털 스톱워치(Digital Stop Watch) 프로젝트

*태*
최초 등록일
2011.03.01
최종 저작일
2010.12
19페이지/한글파일 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

소개글

VHDL을 이용한 스톱워치 프로젝트입니다.
회로도, VHDL Source/Waveform 다 있습니다.

목차

◎ 개 요 - - - - - - - - - - - - - - - - - - - - - - - - - - 3 page


◎ 목 표- - - - - - - - - - - - - - - - - - - - - - - - - - 3 page


◎ 내 용
- 설 명 - - - - - - - - - - - - - - - - - - - - - - - - 4 page
- 블록도/회로도 - - - - - - - - - - - - - - - - - - - - 4 page
- VHDL Source/Waveform - - - - - - - - - - - - - - - - -5 page
- 결 과 :구동사진 - - - - - - - - - - - - - - - - - - - 18 page


◎ 결 론 - - - - - - - - - - - - - - - - - - - - - - - - - 19 page


◎ 소 감 - - - - - - - - - - - - - - - - - - - - - - - - - 19 page

본문내용

1. 개요
- Digital Stop Watch는 정확하게 시간을 멈출 수 있고, 시간을 숫자로 표시해 주기 때문에 보기 쉬운 장점이 있어 Analog에 비해 활용도가 높고 Digital 시계가 익숙한 현 세대에 더욱 선호되는 편이다. 지금까지 7-segment 여러개를 이용하여 0~999 카운터 만들기, led켜기, dot-matrix 등을 만들어 왔다. 지금까지 배웠던 여러 가지 설계를 응용하고 조합하여 보다 고난이도의 스톱워치를 만들 수 있는 단계까지 올라왔다. 그리하여 이번 프로젝트 설계를 하며 통합적인 이해력을 기를 수 있을 것이고, 이를 통해 학기 동안 배웠던 VHDL 문법을 복습하고, 나아가 더 복잡한 구조를 해석하고 설계할 수 있는 능력을 기를 수 있으며 키트의 세그먼트 활용과 클락 신호를 다루는 능력을 기를 수 있을 것이다.
2. 프로젝트 목표
- VHDL에 프로그램을 작성하여 다수의 7segment로 디지털 스톱워치를 만들 수 있다.
4. 프로젝트 설명
▼ 프로젝트 소개
- 키트의 Segment에 시간을 Display하고, Button switch로 control
- 시간, 분, 1/100초 단위까지 있어서 세밀하게 시간을 측정할 수 있다
▼ 사용된 툴과 키트 소개
- 사용 툴 : Quartus2
- 키트 : HBE-COMBO2[FPGA] > Cyclone2 (EP2C35F672C8N)
▼ 핵심 알고리즘과 간략한 구조 소개
- 4개의 주요 회로를 컴포넌트 문으로 결합하여 사용
5. 블록도/회로도
▼ 블록도
▼ 회로도
6. VHDL Source/Waveform
hz : 1kHz Clock으로부터 1/100초인 ???Hz를 만드는 회로
entity hz is
port(clk, nclr : in std_logic;-- nclr는 사례화문에서 not reset

참고 자료

없음

자료후기(1)

*태*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (10) Final Project 110페이지
    Final Project : Digital Watch post-lab report ... Final Project : Digital Watch post-lab report ... 기능 구현. □ 스톱워치의 RESET, STOP 기능 구현. 4) 달력 기능
  • 한글파일 VHDL Stop Watch를 이용한 스톱워치 설계 7페이지
    VHDL Stop Watch 설계 목차 1............. ... 0~9999범위까지의 Stop Watch를 설계하는 프로젝트였으며, 많은 ... Msec까지 범위의 작동하는 스톱워치를 설계하고 실제로 확인하라. < 소스작성
  • 한글파일 응용논리회로 텀프로젝트 제안서 4페이지
    설계할 디지털시계는 시간, 날짜, Stop Watch 기능 등을 고르는 MODE와 ... 판단되어 이번 프로젝트를 바탕으로 VHDL에 대해서 좀더 익숙해 지는 계기를 ... Watch는 시작과 정지 모드를 가지는 디지털 시계를 설계한다.
최근 본 자료더보기
탑툰 이벤트
VHDL - 디지털 스톱워치(Digital Stop Watch) 프로젝트 무료자료보기
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업