• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(832)
  • 리포트(808)
  • 시험자료(15)
  • 자기소개서(7)
  • 논문(1)
  • 방송통신대(1)

"D flip-flop" 검색결과 201-220 / 832건

  • 한글파일 Flip-Flop
    여러개의 트랜지스터로 만들어지며 SRAM이나 하드웨어 레지스터 등을 구성하는데 사용 Flip-Flop에는 RS Flip-Flop, D Flip-Flop, JK Flip-Flop, T ... 것을 확실하게 하기 위한 방법으로 한가지 입 력만을 공급 ◐ D Flip-Flop은 RS Flip-Flop에 약간의 변형을 가한 것으로 데이터(data) Flip-Flop이라 고도 ... 1번째의 클럭 펄스가 들어 왔을 때의 출력을 의미 D Qn+1 0 1 1 0 ◐ 데이터 전송할 때 유용 3.JK Flip-Flop ◐ JK Flip-Flop은 RS Flip-Flop
    리포트 | 4페이지 | 1,000원 | 등록일 2013.05.24
  • 한글파일 디지털실험 9 예비 플리플롭의 기능
    D flip-flop은 RS flip-flop을 기본구조로하여 만들어졌다. latch와 flip-flop은 기본적인 기억소자이다. ... S Q R bar Q R S Q bar Q 0 0 불 변 0 1 1 0 1 0 0 1 1 1 부 정 (2)D Latch와 D Flip-flop -D latch와 D flip-flop은 ... Edge-triggered flip flop D flip flop (5)T flip flop -T(triggering, toggling) flip flop은 하나의
    리포트 | 8페이지 | 1,000원 | 등록일 2014.09.30
  • 워드파일 dFlipFlop
    ◆How we found our D Flip-Flop Times We checked out different outputs by changing our clock. ... ◆D Flip-Flop Layout ◆Wave Forms - Tsetup : 65ps -Tsetup(optimal) : 70ps -Thold :64 ps -Tclk->Q :151.86 ... 6.613E-15 C2 AR gnd! 3.128E-15 C3 D gnd! 1.246E-15 C4 PHI gnd!
    리포트 | 13페이지 | 1,000원 | 등록일 2012.02.14
  • 한글파일 결과 Latch & Flip-Flop
    D Flip-Flop(IC 이용) Setting : 전압공급기를 사용하여 V _{cc}=5V 인가 D 1. D Flip-Flop 회로를 구성하였다(빨간색 영역) 2. ... J-K Flip-Flop(IC 이용) Setting : 전압공급기를 사용하여 V _{cc}=5V 인가 J K 1. J-K Flip-Flop 회로를 구성하였다(빨간색 영역) 2. ... 둘 다 비트를 저장한다는 소자특성을 가지고 있지만 두 회로의 가장 큰 차이점이 Latch는 입력신호가 인가되는 순간 바로 출력에 반영되고(비동기식), flip-flop은 Clock
    리포트 | 8페이지 | 2,000원 | 등록일 2013.12.26
  • 한글파일 [기초전자회로실험2] Verilog 언어를 이용한 Sequential Logic 설계 결과레포트
    실험에 앞서 SR Flip-Flop, JK Flip-Flop, T Flip-Flop, D Flip-Flop과 같은 기억장치로 사용되는 Flip-Flop의 입출력의 관계와 각 Flip-Flop에서 ... 실험결과 및 사진 SR Flip-Flop T Flip-Flop D Flip-Flop SR Latch module Test Bench sourceSR Latch module source1 ... T Flip-Flop의 경우 T=0일 경우 Q >> Q / Q_bar >> Q_bar 인 현재 상태를 출력하고 T=1일 경우 Q >> Q_bar / Q-bar >> Q 인 Toggle
    리포트 | 4페이지 | 1,000원 | 등록일 2019.03.27 | 수정일 2019.04.01
  • 한글파일 [기초전자회로실험2] "Asynchronous Counter / Design of Synchronous Counters" 예비보고서
    Ripple up/down counter using D flip flop D flip flop을 이용한 비동기식 카운터는 2개 이상의 D flip flop이 필요하고 앞선 D flip ... Toggle using D flip-flop ? 앞선 J-K flip flop의 경우 기존의 소자가 J=K=1을 넣어준 경우 토글 상태가 된다. ... D flip-flop으로 Ripple 카운터를 만들 경우는 D입력과 Q_bar출력을 연결해 토글 상태가 됨을 이용한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2019.03.25 | 수정일 2019.03.29
  • 한글파일 [기초전자회로실험2] Verilog 언어를 이용한 Sequential Logic 설계 예비레포트
    D Flip-Flop D플립플롭 Timing diagram SR플립플롭의 문제점인 입력 S와 R에 동시에 High가 들어가지 않도록 만들어 놓은 회로이다. ... J-K Flip-Flop JK플립플롭 Timing diagram SR플립플롭의 문제점인 입력 S와 R에 동시에 High 입력을 허용하지 않는다. 이를 보완하기 위해 고안되었다. ... T플립플롭 Timing diagram T Flip-Flop 간단하게 T 플립플롭은 J-K 플립플롭의 J, K를 T로 묶어놓은 개념이다. T 플립플롭의 T는 Toggle의 의미다.
    리포트 | 7페이지 | 1,000원 | 등록일 2019.03.27 | 수정일 2019.04.01
  • 한글파일 플립플랍 시뮬레이션 PSPICE 시뮬레이션
    D Flip-Flop -입력신호는 0.2us간격으로 0,1,1,0,1순으로 인가하였습니다. ... RS Flip-Flop -입력신호 S와 R은 0.2us간격으로 10, 00, 01, 00, 11을 인가하였습니다. ... JK Flip-Flop -입력신호 J:pin1과 K:pin1에 대한 출력상태 Q를 확인하는 것이며, 입력 J와 K가 10 상태이면, 출력 Q는 C의 클럭이 내려가는 부분에서 1상태가
    리포트 | 3페이지 | 1,000원 | 등록일 2008.02.25
  • 워드파일 논리회로설계실험 FlipFlop Register 예비보고서
    이러한 형태의 Clock형 D Flip-flop은 Clock형S-R FLIP-FLOP을변형한것이다. 입력신호D가 CP에 동기 되어 그대로 출력에 전달되는 특성이 있다. ... D FLIP-FLOP은 1비트타임의 지연소자로 입력D에 의해 출력Q가 1비트 타임 전 상태와 같게 동작한다. ... D래치는 입력을 그대로 출력으로 내보내는 래치이다. Flip-Flop Flip-flop 또한 1비트의 정보를 보관, 유지할 수 있는 회로이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 한글파일 [A+] 연세대학교 원주캠퍼스 의공학부 기초실험(2) 9주차 REPORT
    대표적으로 D Flip-Flop, SR Flip-Flop, JK Flip-Flop, T Flip-Flop 4가지의 Flip-Flop이 있다. ... -D Flip-Flop은 2개의 D Latch와 1개의 inverter로 구성된다. ... 실험에 사용한 JK Flip-Flop는 7476이고, D Flip-Flop은 7474이다. 실험1에 사용된 D FF와 T FF는 7476소자를 응용하여 실험을 진행했다.
    리포트 | 7페이지 | 5,000원 | 등록일 2018.01.09 | 수정일 2021.10.31
  • 한글파일 [디지털시스템실험(Verilog)] Register 예비보고서
    reset D Flip-Flop이다. ... Register 실험목표 ① NAND게이트를 사용하여 SR Latch를 설계한다. ② SR Latch를 사용하여 D Flip-Flop을 설계한다. ③ D Flip-Flop을 사용하여 ... 그러나 이번 실험에서 구현하여야 하는 D Flip-Flop은 input이 D, C, R의 3개인 비동기 reset을 가지는 D Flip-Flop이므로, 위의 형태로 구현하여서는 안됨을
    리포트 | 3페이지 | 1,500원 | 등록일 2011.10.05
  • 한글파일 [Ayeun]컴퓨터구조 계산기 설계 보고서
    설계에 사용할 TTL Logic 74194 4-bit shift register 74157 2-to-1 MUX 7476 J-K flip-flop 7474 D flip-flop #Contol ... 만든다. - flip-flop과 조합회로로 구성한다. - state 마다 flip-flop을 연결하여 제어 timing pulse를 발생한다. ... (시뮬레이션 확인) 세 개의 D Flip-Flop을 이용하여 clock을 지연시켜 T1,T2,T4,T6신호를 만들어 낸다. 여기서 JK의 클럭은 스위치이며 계산기의 ‘=’이다.
    리포트 | 8페이지 | 3,000원 | 등록일 2018.12.21
  • 한글파일 전기전자기초실험 Flip-flop and Counter Design 결과레포트 (영어)
    flip-flop and D latch. ▶ Many FPGA devices contain only edge-triggered D flip-flops ▶ The data contained ... flip-flop JK JK4(i3, w1, reset, clk, D, DN); // 4th JK flip-flop assign A=w1; //give A value to wire ... . ▶ Master and slave Flip-flop.
    리포트 | 8페이지 | 1,000원 | 등록일 2017.12.01
  • 한글파일 순차회로 설계 예비보고서
    /F, T F/F 등이 있다. ※ D F/F - SR F/F에서 부정인 상태(S=R=1)를 제거하기 위해 S와 R의 입력을 동시에 1이 되지 않게 만든 Flip-Flop이다. - D ... 즉, Q+=D. ※ JK F/F - SR F/F에서 부정인 상태(S=R=1)를 제거하기 위해 S와 R의 입력을 동시에 1이 되지 않게 부정인 상태를 토글로 바꾸어 동작하도록 만든 Flip-Flop이다 ... 회로이다. - 가장 대표적인 예가 Flip-Flop이고, Flip Flop은 속도가 빨라서 Register 구현에 가장 많이 사용된다. - 왼쪽 1 bit latch 그림에서 DI는
    리포트 | 7페이지 | 1,000원 | 등록일 2014.07.25
  • 워드파일 verilog 6주차 seq counter FSM 보고서
    #15 D=0; end endmodule D Flip Flop `timescale 1ns / 1ps module D_FlipFlop( input clk, //클락 input rst, ... Flip Flop 신호가 클락의 에지(nega나 posi) 일 때만 감지되어 다음 상태를 결정하는 메모리 소자이다. D, SR, JK, T 등 여러 종류의 FF가 있다. ... 쉽게 말해 n bit 카운터일 경우 0부터 2^n-1까지 순차적으로 나타내어지는 원순열이라고 생각하면 된다.
    리포트 | 25페이지 | 1,000원 | 등록일 2018.12.27
  • 한글파일 전자공학실험1 예비(9장)
    JK flip-flop JK 플립플롭에서는 JK=11일 경우 출력값이 반전되는 기능을 갖게 하여 유용하게 쓰인다. ... JK flip-flop ① 회로를 구성하고 입출력 간의 특성을 측정한다. ② JK=11인 경우에 다음 상태 출력 는 가 되지 않음을 분석하고 이 문제를 해결하기 위한 주종 플립플롭과 ... Latches/Filp-flops 기본적인 기억소자로서 1비트의 정보를 저장할 수 있는 가장 간단한 형태가 래치회로이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2012.04.08
  • 한글파일 A+ 디지털 시스템 실험 Latch & Flip-Flop <6주차 예비보고서>
    SR Latch를 이용하여 D-Type Positive-Edge-Triggered Flip-Flop을 설계 [그림 4]D Flip-Flop Graphic symbol D Q(t+1) ... 실험목표 ① SR Latch를 설계한다. ② SR Latch를 이용하여 D-Type Positive-Edge-Triggered Flip-Flop을 설계한다. ③ D Flip-Flop을 ... with D flip-flop logic diagram [표 3] JK Flip-Flop 진리표 4.
    리포트 | 3페이지 | 1,000원 | 등록일 2017.07.05
  • 한글파일 22장 플립플롭(flip-flop) 회로 결과레포트
    flip-flop을 모두 실험해 보려 하지만 JK와 D는 RS에 추가적으로 회로를 구성한 것이므로 가볍게 RS flip-flop만 실험해 본다. 1) NOR 게이트를 이용한 RS flip-flop의 ... 0 -NAND함수의 회로 기호 및 진리표 1)회로기호 2)진리표 입력 출력 A B Y 0 0 1 0 1 1 1 0 1 1 1 0 -NOR 게이트를 이용한 RS flip-flop의 ... (이 때, R-0,S-0 같은 경우엔 Q값이 유지되므로 초기 값을 모르므로 R-0,S-1 입력부터 한 뒤에 실행하여 값을 비교해본다.) 2) NAND 게이트를 이용한 RS flip-flop
    리포트 | 3페이지 | 1,000원 | 등록일 2017.10.10
  • 워드파일 서울시립대학교-전자전기컴퓨터설계실험2-제07주-Lab06_Pre
    D Flip-flop J-K Flip-flop is composed of only one input. ... D CLK Q 0 Rising 0 1 Rising 1 The above is Truth table of D Flip-flop. ... Diagram of D Flip-flop J, K = Input, Q, /Q = Output, CLK = Clock.
    리포트 | 6페이지 | 1,500원 | 등록일 2017.09.04
  • 파워포인트파일 플립플롭의 종류와 기능
    플립플롭의 기능 차례 1.Latch와 Flip-Flop 2.RS Flip-Flop 3.D Flip-Flop 4.JK Flip-Flop 5.T Flip-Flop 6.예비 문제 7.시뮬레이션 ... 2개 이상의 게이트를 가지고 각 게이트의 출력이 피드백되어 다시 게이트의 입력으로 들어가는 기억장치 1-1)RS Lactch 1-2)D Lactch 1-3)Flip Flop이란? ... Edge trigger 2.RS Flip Flop RS Flip Flop 동작 3.D Flip Flop 4.JK Flip Flop 5.T Flip Flop 6.예비 문제 (2) RS
    리포트 | 26페이지 | 1,000원 | 등록일 2010.11.05
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업