• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(184)
  • 리포트(137)
  • 자기소개서(45)
  • 이력서(2)

"VHDL 설계 및 실습" 검색결과 1-20 / 184건

  • 한글파일 VHDL을 이용한 다양한 플립플롭 카운터설계, 실습
    실습명 : 4주차 VHDL 실습 2. ... 실습 시뮬레이션 (1) SR F.F. ... VHDL 실습 Report SR F.F.~12진 카운터 제출일 2013년 4월 1일 최종기한 2013년 4월 1일 담당교수 최 종 성 교수님 학과 전 자 공 학 과 학번 2009144029
    리포트 | 22페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 한글파일 VHDL을 이용한 Mux, Demux, incoder, decoder, FND 설계 실습
    VHDL 실습 Report Mux ~ FND의 설계 실습 제출일 2013년 3월 25일 최종기한 2013년 3월 25일 담당교수 최 종 성 교수님 학과 전 자 공 학 과 학번 ... 실습 시뮬레이션 (1) 1비트 2x1 Mux a. Schematic으로 설계 b. ... 실습명 : 3주차 VHDL 수업 실습 2.
    리포트 | 16페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 한글파일 VHDL실습 16진, 10진, 3진(5-6-7), 12진(2-13)카운터 설계 구현
    VHDL 실습 카운터 설계 시뮬레이션 1.서론 배경이론 (1) SR-F/F SR플립플롭의 회로는 다음과 같이 NAND게이트 두 개로 이루어져있다. ... 컴파일 후 vwf파일을 불러와 \ 시간지연이 일어난 것을 볼 수 있지만 우리 실습과정에서는 크게 상관이 없으므로 이대로 진행한다. 내가 설계한 칩의 위치를 확인 할 수 있다. ... 또, 플립플롭을 통과할 때 마다 지연되어 필요 없는 값이 생기게 된다. 2.실습 내용 (1) 16진 카운터 클락의 rising edge에서 값이 변하는 카운터를 설계해 보았다. 16진
    리포트 | 17페이지 | 2,000원 | 등록일 2019.04.20
  • 파워포인트파일 [모터] 스텝 모터 의 기능 장단점 설계 실습(VHDL)
    정지 시에 높은 유지토크로 위치를 유지할 수 있으며, 기동 정지 응답성이 양호하다. ... ) p.6 end case; end if; end if; end if; end process; p3: process(state) begin case state is 소스(VHDL이용 ... (공장내의 로봇 등에 활용) 소스(VHDL이용) p.1 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all
    리포트 | 21페이지 | 1,000원 | 등록일 2005.07.19
  • 워드파일 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    HDL 실습 -component 문을 이용한 시계 설계- 목차——————————————— MUX 2x1 component--------------------------------- ... 실습 결과 DE2 보드를 이용한 결과 문제점의 해결방안 처음 DE2 보드에 sof 파일을 넣었을 때, 시간은 제대로 흘렀으나 시간을 멈출 때 마다 초, 분, 시간에 +1이 되는 ... Vhdl를 이용한 코드 출력 결과 디지털 시계 이론적 배경 Vhdl를 이용해 디지털 시계를 구성하기 위해 component 문을 활용하여 설계를 하게 되었다.
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • 한글파일 디시설 - 기본적인 디지털 논리회로 설계
    참고문헌 양영일, 『VHDL을 이용한 디지털 논리회로 설계』, 미래컴(2010) 노승환, 『디지털 시스템 설계 실습』, 한빛아카데미(2017) ... 실습 결과 토의 결론 ? 실습결과 Schematic으로 하거나 VHDL로 하거나 결과는 같았다. ? ... 결과 보고서 ( 기본적인 디지털 논리회로 설계 ) 제목 기본적인 디지털 논리회로 설계 실습 목적 본 실습에서는 기본 논리 게이트로 구성된 회로를 Schematic과 VHDL로 각각
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 디시설 - 7-세그먼트 디코더 설계
    참고문헌 양영일, 『VHDL을 이용한 디지털 논리회로 설계』, 미래컴(2010) 노승환, 『디지털 시스템 설계 실습』, 한빛아카데미(2017) ... 실습 내용 실습 결과 VHDL 코드 - VHDL 코드 주요 동작부분 해석 ① 18행의 rising_edge(clk)는 클럭의 상승 에지가 발생하는 것을 검사해 에지에 동기시키고 값을 ... 결과 보고서 ( 7-세그먼트 디코더 설계 ) 제목 7-세그먼트 디코더 설계 실습 목적 하나의 7-세그먼트 FND는 한 자리의 16진수를 출력할 수 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 디시설 - 전가산기, 전감산기 설계
    실습 결과 토의 결론 ? ... 또한 이 실습을 통해서는 if~then~elsif~end if(VHDL) 형식과 다양한 방법으로 전가산기를 설계하는 법을 배울 수 있다. ... 실습 내용 실습 결과 전가산기 VHDL 코드분석 * 전가산기란? - 전가산기는 3비트에 대해 산술 덧셈을 실행하는 조합논리회로이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 디시설 - 패리티 발생기, 검사기 설계
    실습 내용 실습 결과 패리티 발생기 VHDL 코드 - 코드 주요 내용 generic : generic은 파라미터의 값을 결정할 수 있게 하며, 쉽게 수정할 수 있으므로 설계를 쉽게 ... 결과 보고서 ( 패리티 발생기, 검사기 설계 ) 제목 패리티 발생기, 검사기 설계 실습 목적 패리티 비트는 데이터 전송 도중 오류가 발생했는지 검사하는 데 사용된다. ... 또한 이 방법과 for~loop를 사용하는 방법의 장점 단점을 설명하라.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 디시설 - 인코더, 디코더 설계
    VHDL 코드 설계방법을 숙달한다. ... 결과 보고서 ( 인코더, 디코더 설계 ) 제목 인코더, 디코더 설계 실습 목적 디코더는 n개의 입력과 2^{ n}개의 출력을 갖지만, 인코더는 2^{ n}개의 입력을 받아서 인코딩된 ... 실습 내용 실습 결과 디코더 - 디코더란?
    리포트 | 9페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 디시설 - 4비트 가산감산기 , BCD 가산기
    참고문헌 양영일, 『VHDL을 이용한 디지털 논리회로 설계』, 미래컴(2010) 노승환, 『디지털 시스템 설계 실습』, 한빛아카데미(2017) ... 실습 내용 실습 결과 4비트 가산기 VHDL코드 - 코드 주요 내용 동작 부분 해석 package 선언 : 1~3행에서 데이터 비트를 4로 정의했기 때문에, a, b의 범위는 0 ... 이 실습에서는 BCD로 입력되는 두 수를 더한 2진 결과를 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 디시설 - ‘1’ 개수 카운터 Leading one 카운터 설계
    실습 내용 실습 결과 ‘1’ 개수 카운터 VHDL 코드 - 코드 주요 내용 동작 부분 해석 for ~ loop문 : loop 문은 같은 코드가 여러 번 반복될 때 유용하다. loop ... 결과 보고서 ( ‘1’ 개수 카운터 / Leading one 카운터 설계 ) 제목 ‘1’ 개수 카운터 / Leading one 카운터 설계 실습 목적 이 실습에서는 입력되는 이진 벡터에서 ... 실험 결과 고찰 이번 실험에서는 ‘1’개수 카운터와 Leading one 카운터를 설계해보았다.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 Mux&Decoder2차레포트 디지털회로설계
    REPORT 기본로직 설계 시뮬레이션 검증 제출일 2020 전 공 전자공학 과 목 디지털회로설계 학 번 . 담당교수 . 이 름 . ... , VHDL (5) FND Decoder VHDL 을 Schematic과 VHDL 설계하고 DE2 보드로 작동하기 제 3장 요약 결론 레포터의 목적 (1) 1비트 2x1 Mux ... 실습을하다 schematic 설계의 RTL viewer과 VHDL의 RTL viewer이 좀 많이 다른 것 같았다 VHDL 결과는 컴퓨터의 판단에 의한 가장 최적화된 회로를 보여준다고
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(예비) / 2021년도(대면) / A+
    실습 1: bit operators를 이용하여 2-input AND 게이트 설계 b. 실습 2: Gate Primitive를 이용하여 2-input AND 게이트 설계 c. ... 즉, VHDL을 이용하여 Behavioral 기술, RTL(Register Transfer Level) 기술 Gate Level의 기술을 할 수 있다. ② VHDL은 특정 Simulator ... 실험 장비 재료 가.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 한글파일 [인하대 전자기초디지털논리설계]VHDL을 이용한 4bit Full Adder 설계
    전자기초디지털논리설계 10장 과제 1. 실습 제목 ModelSim을 이용한 VHDL 실습 과제 2. ... VHDL을 이용해 회로를 처음 설계해보았는데 기초적인 수준이지만 회로 설계의 첫 걸음을 뗄 수 있는 좋은 경험이었고 이번 실습을 통해 VHDL 코딩과 출력 파형을 분석하는 방법에 대해 ... 결론 고찰 : 이번 실습을 통해 수업 시간에 배운 1bit full adder, 4bit full adder를 코드로 구현하고 test bench 코드의 시뮬레이션 파형이 이론대로
    리포트 | 4페이지 | 1,500원 | 등록일 2022.03.14
  • 워드파일 전감산기 verilog 설계
    또한 이 실습을 통해서는 if~else(Verilog) 또는 if~then~elsif~end if(VHDL) 형식을 배울 수 있다. 실습 내용 실습결과 논리식 1. ... 시뮬레이션 실행 ... 전감산기 설계 과정을 통해 조합논리회로를 Verilog 또는 VHDL설계하는 방법에 대해 공부한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.12.19
  • 한글파일 디지털시스템설계실습 전감산기 결과보고서
    Verilog 또는 VHDL설계한 전감산기를 컴파일 시뮬레이션하고, 시뮬레이션 결과를 진리표와 비교한 후 다음에 나타내라. 연습문제 2. ... 디지털시스템 설계 실습 2주차 결과보고서 학과 전자공학과 학년 3 학번 성명 ※전감산기 설계(진리표. 논리식. 동작표현) 1. 전감산기 연산은 다음과 같다. ... 전감산기에 대해서 복습함과 동시에 VHDL설계하는 여러 방법들을 배울 수 있는 시간이었다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.04.16
  • 워드파일 서울시립대 전전설2 Lab-03 예비리포트 (2020 최신)
    시뮬레이션 장비 동작 테스트를 하는 실습이다. ... 배경이론 사전조사 HDL 기반 설계 방식은 크게 두 가지가 있다. ... 왼터는 모듈 작성 이후 시뮬레이션 combo 동작 테스트는 상기 [실습 1]의 과정과 동일하게 진행한다.
    리포트 | 13페이지 | 1,500원 | 등록일 2021.09.10
  • 워드파일 ROM&RAM 설계
    HDL 실습 -Signal/Variable, ROM&RAM 설계- 목차——————————————— Signal 과 Variable---------------------------- ... 이론적 배경 ROM 설계-----------------------------------------------------p.8 이론적 배경 VHDL를 이용한 코드 출력 결과 RAM ... 설계 ---------------------------------------------------p.11 이론적 배경 VHDL를 이용한 코드 출력 결과 고찰--------------
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.05
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 예비레포트 3주차 Lab03 Introduction to Verilog HDL
    두개의 차이점은 Verilog는 전자 시스템을 모델링하는 데 사용되는 HDL이며 VHDL은 현장 설계 가능 게이트 어레이 집적 회로와 같은 디래밍 할 때 적절하다. ... 전자전기컴퓨터공학부 설계 실험2 Pre La-03 Introduction to Verilog HDL 실 험 날 짜 학 번 이 름 목차 1. ... 실험 방법 [실습 1]: Two-input AND 게이트의 설계를 bit operators (비트 연산자)를 이용하여 디자인하고, 테스트 벤치를 이용한 시뮬레이션으로 확인 후 장비를
    리포트 | 17페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업