• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

디지털시계

*영*
개인인증판매자스토어
최초 등록일
2009.12.27
최종 저작일
2009.07
8페이지/한글파일 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

1.stop-watch
2.watch
두개의 verilog 소스 포함, 결과화면

목차

Stop_watch소스

Digital Watch 소스

본문내용

입력
1) Clock 신호 (100 Hz)
2) Reset 신호 (push button 이용)
3) Enable 신호 (push button 이용)
⇨ mode 신호 추가
출력
1/100초 단위로 출력되는 2자리숫자와 초단위의 2자리 숫자
4개(4-digit7segmentdisplay1모듈) 이용하여 구현
⇨ 분단위와 시단위 각각 2자리 추가

Stop_watch소스
library ieee;
use ieee.std_logic_1164.all;

entity st_watch is
port (clk : in std_logic; --1kHz 클럭주파수
mode : in integer range 4 downto 0; --시계의 동작모드가 1일 때 stop_watch 표시
sw_f1 : in std_logic; --stop_watch의 시작, 정지버튼
sw_f2 : in std_logic; --stop_watch의 초기화 버튼
hour : out integer range 23 downto 0; --시간 출력
minute : out integer range 59 downto 0; --분의 출력
second : out integer range 59 downto 0; --초의 출력
sec_hun : out integer range 99 downto 0); --1/100초의 출력
end st_watch;
architecture a of st_watch is

signal hur : integer range 23 downto 0;
signal min : integer range 59 downto 0;
signal sec : integer range 59 downto 0;
signal sec_100 : integer range 99 downto 0;
signal cnt : integer range 9 downto 0;
signal clk_hun, clk_sec, clk_min, clk_hur : std_logic;
signal start : std_logic;

참고 자료

없음
*영*
판매자 유형Gold개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

더보기
최근 본 자료더보기
탑툰 이벤트
디지털시계
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 05일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:20 오후