• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(503)
  • 리포트(472)
  • 시험자료(19)
  • 방송통신대(6)
  • 자기소개서(4)
  • 논문(1)
  • ppt테마(1)

"2x1 Mux" 검색결과 81-100 / 503건

  • 워드파일 디지털 논리회로 Xilinx와 key, dot matrix를 활용한 패턴 저장, 표출
    시연모드 버튼과 GND의 input을 받는 M2_D1을 마지막 mux의 CLK로 사용하면 시연모드 버튼을 누를 때만 이와 같은 동작이 되도록 하였다. ... 총 2개의 SR8RLED 를 사용하며 각각의 아웃풋은 encoding 작업 후 3bit의 정보로 만들어준후 64x1 RAM 의 address에 입력된다. ... 소자의 property 이해 프로젝트를 위해 주어진 소자는 cmod s6, 4x4 key matrix, bread board, 8x8 dot matrix 이다.
    리포트 | 17페이지 | 2,000원 | 등록일 2020.12.03
  • 한글파일 8장 순차논리회로 설계 및 구현(2) 예비
    실험 기기 및 부품 74x32 2 74x08 3 74x04 1 74x00 1 74x10 1 74x74 2 74x76 2 74x194 4 LED 4 저항(330 OMEGA ) 4 5. ... Input Output PR CLR D0 D1 D2 D3 Q0 Q1 Q2 Q3 L L X X X X H H H H L H X X X X H H H H H L X X X X L L L ... Q1 Q0 L(무효) Q3 Q2 Q1 Q0 마.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.06
  • 워드파일 디지털시스템설계 hw5
    _2 in_3 Reg1 Reg2 Reg3 0 0 1 0 X X X 1 0 1 0 X X 0 0 1 1 0 X 1 0 1 1 1 0 0 1 1 0 1 0 0 0 1 1 1 1 0 0 ... 사용하는 4-1 MUX코드이다. case문과 유사한 방식으로 s1과 s0을 input vector로 이용해 해당 입력에 따라 정해진 output을 출력하는 방식이다. ... 문제 3-2번 - 코드 출력포트 : x_b, y_b, z_b : blocking문 사용할 변수 x_nb, y_nb, z_nb : nonblocking문 사용할 변수 - 시뮬레이션 계획
    리포트 | 18페이지 | 1,000원 | 등록일 2021.01.07
  • 한글파일 (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서4
    실험4 예상 결과 입력 출력 D S1 S0 Y3 Y2 Y1 Y0 1 X X 1 1 1 1 0 0 0 1 1 1 0 0 0 1 1 1 0 1 0 1 0 1 0 1 1 0 1 1 0 1 ... (S1,S0) = (1.0)이면 10(2)을 뜻하고 십진수로는 2를 뜻하게 되어 D2값이 출력되게 하며 (S1,S0) = (1,1)이면 11(2)를 뜻하고 십진수로는 3을 뜻하게 되어 ... 첫 번째 실험은 NAND와 NOT gate로 4x1 멀티플렉서를 구성하여 선택입력 E, S1, S0에 따라 멀티플렉싱이 이루어지는지 확인하는 실험이었다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • 한글파일 [디지털공학개론] 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오. 2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.
    이러한 레지스터는 모뎀과 같은 장치에 사용된다. 3) 병렬 입력 - 직렬 출력 2x1 MUX의 작동에서 S=0에서 입력 A와 출력 Y가 연결되며, S=1에서 입력 B와 출력 Y가 연결된다 ... 하향카운터는 플립플롭이 클럭 펄스의 상승 에지에서 변화하며 Q A에서 입력 클럭 주파수의 1/2, Q B에서 1/4, Q C에서 1/8 및 Q D에서 1/16 주파수의 구형파를 얻는다 ... 상향 카운터와 하향 카운터가 있으며, 상향 카운터는 플립플롭이 클럭 펄스의 하강 에지에서 변화하고, Q A에서 입력 클럭 주파수의 1/2, Q B에서 1/4, Q C에서 1/8 및
    리포트 | 5페이지 | 2,000원 | 등록일 2022.06.30
  • 워드파일 플래시 메모리, 프로그래머블 논리장치(PLD) ,SRAM ,DRAM , MROM , EPROM , PROM , FRAM , PRAM , MRAM , 메모리 조사 대체과제 만점 , 논문까지 참고 및 없는 내용 없음 사기적
    위 그래프에서 x축은 외부에서 인가하는 자기장을, y축은 전기저항 수준을 각각 의미한다. x=0에서 RP는 650오옴, RAP는 1,400오옴의 서로 다른 두 개의 전기 저항을 가진다 ... 즉,WL=high, BL=VDD/2일 때, BL이 VDD/2보다 증가하면 -> data '1'을 read, WL=high, BL=VDD/2일 때, BL이 VDD/2보다 감소하면 -> ... CPLD는 빠른 성능이 나 정확한 타이밍의 예측이 필요로 하는 곳에 적합하다 또한 XOR, MUX, tri-state gates 지원한다.
    리포트 | 19페이지 | 3,300원 | 등록일 2021.08.30
  • 한글파일 디지털논리회로실험(Verilog HDL) - 8-bit Signed Adder/Substractor, Multiplier
    Adder/Subtractor *Adder/subtractor: control input determines whether add or subtract -Can use 2x1 mux ... The result P = A x B is to be displayed on HEX1 and HEX0. ? ... S = (A x B) + (C x D) The inputs A, B, C, and D are eight-bit unsigned numbers, and S provides a 16-bit
    리포트 | 19페이지 | 1,000원 | 등록일 2019.08.29
  • 워드파일 <컴퓨터 구조 및 설계>4장 프로세서(Data path & Mapping Control) 요약정리
    A1이면 2진수에서 앞자리 값이 1인 10값이나 11값이다. ... 따라서 진리표에서 10과 01대신 1x와 x1을 사용할 수 있다. 또 R형식 명령어가 사용되는 경우 이 필드의 첫 두 비트는 항상 10이다. ... Operation 2을 고려해보면 ALUOp0이 1이면 항상 참, ALUOp1이 1이고 F1값이 1이면 항상 참이다. 위에 표를 PLA라고 한다.
    리포트 | 23페이지 | 1,000원 | 등록일 2019.07.29 | 수정일 2019.08.13
  • 한글파일 부경대 디지털 회로 3장 과제
    MUX 연습문제 3-52. ... 1 1 ① A1 ② A0 D1D0 D3D2 00 01 11 10 00 X 01 1 11 1 10 1 D1D0 D3D2 00 01 11 10 00 X 1 01 1 11 1 10 1 1 ... 입력 출력 I9 I8 I7 I6 I5 I4 I3 I2 I1 I0 A3 A2 A1 A0 V 0 0 0 0 0 0 0 0 0 0 X X X X 0 0 0 0 0 0 0 0 0 0 1 0
    시험자료 | 13페이지 | 4,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • 한글파일 전자공학과 지거국 편입 면접대비 총정리 자료
    순차회로, 조합회로 (차이점 설명) 조합회로 : 일정 시점의 출력값이 일정 시점의 입력값에 의해서만 결정되는 논리회로 ex) 기억능력X, AND, OR, XOR, MUX, 가산기, ... 일반적으로 0과 1이라는 2개의 숫자를 조합한 2진법을 사용한다. - 논리식을 간략화하는 방법은 무엇무엇이 있는가? ... ① 부울대수 (드모르간 법칙) : 논리적인 상관관계를 주로 다룸 → 목적 1. 변수 사이의 진리표 관계를 대수형식으로 표현, 2.
    자기소개서 | 27페이지 | 25,000원 | 등록일 2022.03.03 | 수정일 2023.01.30
  • 한글파일 부경대 전자공학과 면접 기출 4개년(2016년 ~ 2020년도)
    2. MOSFET 단자이름 4가지가 무엇인지 말하고 설명하여라. 3. 논리회로 게이트를 사용하여 진리표 X + XY = X 임을 증명하여라. 4. ... {1} over {4 pi }라고 가정, 공기 중의 전하 Q1=(1,0,0) 크기는 5C, Q2 = (1,2,0) 크기는 5C 일 때 (1,1,0)에서의 전계세기는? ... 다음의 출력을 구하여라. int n=10; int *p1=&n; int *p2=p1; printf (%d, (p1)++); printf (%d, (p2)++); printf (%d,
    시험자료 | 8페이지 | 9,000원 | 등록일 2019.12.03 | 수정일 2020.12.30
  • 한글파일 정보처리기사요약(2.전자계산기구조)
    Y = OVERLINE X + OVERLINE Y ⑪ 반 감산기(Half Subtracter) ― 2진수 1자리 뺄셈기. 4) 멀티플렉서(Multiplexer : MUX) ― 2n ... 불 대수(Boolean Algebra) ① X+0=X② X?0=0③ X+1=1④ X?1=X⑤ X+X=X ⑥ X?X=X ⑦ X+OVERLINE X = 1 ⑧ X? ... OVERLINE X = 0 ⑨ OVERLINE X+Y = OVERLINE X ? OVERLINE Y ⑩ OVERLINE X?
    시험자료 | 16페이지 | 3,500원 | 등록일 2021.05.24
  • 워드파일 서강대학교 디지털논리회로실험 레포트 9주차
    STEP 14) 7-segment에 숫자를 표시하는 원리는 ROM 연결실험 1과 동일하다. ROM16X1의 datasheet, 그림 20의 회로를 분석해 표 3을 완성하였다. ... MAX_CLK_OUT가 low인 동안 MUX에 의해 선택된 DIP_SW[3:0]의 내용이 ROM의 주소인 A[3:0]에 연결되며 그 주소에 해당하는 ROM에 저장된 데이터가 D[7: ... 숫자 Binary Hex h g f e d c b a 0 1 1 0 0 0 0 0 0 C0 1 1 0 1 1 1 1 1 1 BF 2 1 1 1 1 0 1 1 0 F6 3 1 0 1
    리포트 | 30페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 워드파일 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습4 [예비레포트]
    . 3x8 디코더 : 3개의 입력선과 8개의 출력선을 갖는 디코더 표 SEQ 표 \* ARABIC 1 3x8 디코더 진리표 C B A O7 O6 O5 O4 O3 O2 O1 O0 0 ... 0 0 0 1 0 1 0 0 1 0 0 0 0 0 1 1 0 0 1 0 0 0 0 0 0 1 1 1 1 0 0 0 0 0 0 0 조합 논리 회로 설계 : 2x1 MUX회로 멀티플렉서 ... 그림 SEQ 그림 \* ARABIC 5 2:1 MUX TestBench_1 그림 SEQ 그림 \* ARABIC 6 2:1 MUX TestBench_2 2:1 MUX를 Simulation한
    리포트 | 15페이지 | 1,000원 | 등록일 2017.10.19
  • 워드파일 verilog 풀애더 멀티플렉서 보고서
    X가 Cin, C가 Cout을 나타낸다. ... mux0(a[0], b[0], sel[0], c0); mux_2to1 mux1(a[1], b[1], sel[0], c1); mux_2to1 mux2(c0, c1, sel[1], out ... 다만 2to1MUX의 개수가 2의 등비급수로 커지게 된다. 2.
    리포트 | 15페이지 | 1,000원 | 등록일 2018.12.27
  • 한글파일 VHDL실습 디지털 시계
    그런데, ①번의 2x1 MUX 에서도 디지털시계를 설계할 때와 같은 방식으로 component문을 사용하여 구조화 하여야 한다. 2x1 mux에는 and gate2개와 or gate1개 ... 우선, 디지털시계를 설계하기 위해서는 다음의 회로들을 설계해야 한다. ① 2x1 MUX ② 1초 생성기 ? ... RTL viewer를 통해 설계한 2x1 MUX를 확인할 수 있다. 1초 생성기 1초 생성기의 코드는 다음과 같다.
    리포트 | 14페이지 | 2,000원 | 등록일 2019.04.20
  • 파일확장자 6주차 MUX and DEMUX
    실험 1.1. 2x1 multiplexer의 구현2x1 multiplexer의 회로를 나타낸 사진이다. ... 2^1개이며 선택선은 1개이다.2) wave창 캡쳐위의 사진은 2x1 multiplexer의 동작을 보여주는 wave파형이다. ... 정보를 전달한다. en은 Enable단자로써 en의 값이 0 일때는 출력값을 0으로 보내며, en의 값이 1일때는 Enable단자가 없는 mux와 똑같이 동작한다.책에서 배웠듯이 입력은
    리포트 | 8페이지 | 1,000원 | 등록일 2014.10.12
  • 한글파일 VHDL을 이용한 Mux, Demux, incoder, decoder, FND 설계 및 실습
    실습목표 : (a) 1비트 2x1 Mux Schematic & VHDL (b) 2비트 2x1 Mux Schematic & VHDL (c) 1비트 1x2 Mux Schematic & ... 실습 및 시뮬레이션 (1) 1비트 2x1 Mux a. Schematic으로 설계 b. ... VHDL (d) 2x4 Decoder Schematic & VHDL (e) 4비트 4x1 Mux Schematic & VHDL (f) 0~f FND Decoder (VHDL만) 을
    리포트 | 16페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 한글파일 SOC설계및실습 Processor Report
    Mux 4x1 코드 Mux 2x1 코드 Mux 4x1 테스트벤치 코드 Mux 2x1 테스트벤치 코드 6.Register Register는 ... decoder 2x4 설계코드 Decoder 2x4 테스트 벤치코드 5.Mux 2x1, Mux 4x1 MUX는 여러 개의 데이터 소스로 부터 입력되는 ... 여기서 MUX4x1은 IR Decoder에서 받은 선택신호를 통해 해당 Register를 선택하며, MUX2x1도 IR Decoder에서 선택신호를 받아 명령어와 연산 결과 값 중
    리포트 | 18페이지 | 2,000원 | 등록일 2014.06.20
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 17일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:27 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기