• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,620)
  • 리포트(1,372)
  • 논문(176)
  • 시험자료(49)
  • 자기소개서(15)
  • 방송통신대(5)
  • 서식(1)
  • 이력서(1)
  • ppt테마(1)

"signal processing" 검색결과 21-40 / 1,620건

  • 파워포인트파일 Semiconductor Device and Design - 6,
    Solution method of Latch up effect • The PWM source outputs a low signal to the inverter, which turns ... resistance (R1) is large enough, the ground of the inverter can be at a higher potential than the input signal ... FET(NMOS, PMOS) Process 1. FET(NMOS, PMOS) Process Symbol 2.
    리포트 | 16페이지 | 2,000원 | 등록일 2023.06.22
  • 워드파일 실습 중 보게되는 Brain MRI 가장 기본적인 해석 방법제시
    FLAIR에서의 high signal은 결국 pathological process이다. Infection, tumor, area of demyelination이 그 예이다. ... T1 signal과 T2 signal의 차이 Fat에서 proton은 빠르고, high energy로 정렬되어 high T1 signal을 보낸다. ... 오른쪽 T2에서는 둘다 high signal로 보인다.
    리포트 | 6페이지 | 2,500원 | 등록일 2020.11.13 | 수정일 2024.03.18
  • 워드파일 [영문] GPS 항법장치도 없는 벌은 어떻게 장거리 비행하면서 자신의 위치를 파악할 수 있을까? How Can Bees Navigate Long-Distance Flight Without GPS Navigation Devices
    The user sets a destination, and the GPS provides real- of the signal and reduce the accuracy of the ... The main roles and functions of GPS are as follows: Positioning: GPS receives satellite signals to pinpoint ... Communication with other signal reception taking into account the surrounding environment and circumstances
    리포트 | 6페이지 | 2,500원 | 등록일 2023.05.28
  • 워드파일 [전자공학응용실험] Common Gate Amplifier and Source Follower 예비레포트
    Since the magnitude of the output signal in the large signal is reduced by VGS compared to the input. ... "follows" the output signal as it is. ... There is no significant difference in the small signal (excluding trade off), but it acts as a buffer
    리포트 | 6페이지 | 2,500원 | 등록일 2022.12.19
  • 한글파일 Random Process Simulation with MATLAB (PSD, auto-correlation)
    즉, 위의 두 조건을 만족할 때 Ergodic Process라 합니다. ▶ Power Spectrum Density 주기함수는 power signal이기 때문에 average power와 ... 아닌 부분으로 0으로 설정하였고 이 때문에 zeros함수를 이용해 0행렬을 생성하여 signal을 0행렬에 대입할 생각입니다. ... 계산하라. 4. 3의 결과를 식(2)와 비교해서 그려라. ▶ auto-correlation 그래프 ▶ Random binary sequence에 gaussian Noise가 더해진 signal
    리포트 | 8페이지 | 2,000원 | 등록일 2020.02.18
  • 파일확장자 동물에서 Amputational Injury 회복을 위한 Blastema의 분화
    Whether this process relies only on intrinsic regulative properties of regenerating tissues or whether ... wound signaling provides input into tissue repatterning is not known. ... In this review, authors have made efforts to put emphasis on signaling events, importance of polarity
    논문 | 10페이지 | 4,000원 | 등록일 2023.04.05
  • 워드파일 [전자공학응용실험] Cascode Amplifer 예비레포트
    Also, the operation of the DC bias signal in the large signal is checked. 2. ... So far, we have looked at this circuit in small signal. ... For this, we would like to investigate the operation of the circuit in large signal.
    리포트 | 6페이지 | 2,500원 | 등록일 2022.12.19
  • 워드파일 ABB코리아 인턴 합격 영어자소서
    In the project, I was in charge of simulation analysis, circuit design, and signal measurement etc. ... Description • Electromagnetic properties calculation of a ferromagnetic core using MagNet • Development of a signal ... I understand the process of numerical calculation.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2020.11.09
  • 워드파일 연세대 전기전자공학부 20-1학기 기초아날로그실험 3주차 예비레포트
    Integral is the process of summing up arbitrary micro-area below the waveform of a signal. ... So, charge amplifier is used to lower the signal’s impedance. ... The sensor generates an output signal that has a very high impedance.
    리포트 | 38페이지 | 1,500원 | 등록일 2021.03.13
  • 한글파일 Gibbs' 현상에 대한 실험, MATLAB 코드 포함
    Digital Signal Process 융합전자공학부 2014002742 김명훈 1. ... % for n=1:Fs+1 yr= yr+yn(n)*sinc((t-n*Ts)/Ts); end; % % plot the original signal, the sampled signal ... 비슷하지만 약간 aliasing이 된 signal이 출력된다는 것을 확인할 수 있었다. 4.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.10.02
  • 한글파일 Nyquist 이론에 대한 실험, MATLAB 코드 포함
    Digital Signal Process 융합전자공학부 2014002742 김명훈 1. ... Result 그림 2 Aliased signal = 5Hz, = 4Hz그림 3 Reconstructed signal = 5Hz, = 12Hz 3. ... 과제 분석 1) 과제의 목적 MATLAB을 이용하여 analog signal을 sampling해서 discrete signal x[n]으로 바꿔준 후 x(t)= sum _{n=- INF
    리포트 | 5페이지 | 1,000원 | 등록일 2019.10.02
  • 워드파일 ROM&RAM 설계
    특히, 본 설계에서 사용하게 될 signal과 variable은 사용에 있어 구문이나, 특징에 있어 차 이를 보이기 때문에 설계의 목적에 맞게 유의해서 사용해야 한다. ... , variable, constant로 나눌 수 있다. signal은 VHDL 합성 시에 선으로 구현 가능한 전역 변수이고, variable은 연산의 단계에서 이용되는 지역 변수이다 ... ------------------p.14 Signal 과 Variable 객체의 종류 VHDL에서 값을 가지고 할당할 수 있는 것을 객체(object)라고 부르며, 객체의 종류는 signal
    리포트 | 14페이지 | 1,500원 | 등록일 2020.10.05
  • 워드파일 [전자공학응용실험] Common Gate Amplifier and Source Follower 결과레포트
    The operating area of the source follower was properly measured, but the voltage value at small signal ... actual operating area of the Common Gate Amplifier was well measured, and amplification of the small signal ... given input voltage was not in saturation area, so we reduce the value of the input voltage of a large signal
    리포트 | 6페이지 | 1,500원 | 등록일 2022.12.19
  • 워드파일 공정제어 hw2 (applet 3 Understand the Measurements DP Cell)
    voltage signal이 연달아 변하게 되고 그 voltage signal의 span과 zero값을 이용해서 (1V~5V값으로 이용) indicator의 퍼센트 값인 0%~100% ... 또한, noise뿐 아니라 중요한 signal까지 filtering 될 수도 있다. ... Observe how the signals are affected by noises at different levels.
    리포트 | 11페이지 | 2,500원 | 등록일 2021.04.30 | 수정일 2021.05.04
  • 한글파일 Discrete domain Fourier transform 실험, MATLAB 코드 포함
    그리고 DFT 된 signal X[k]를 다시 IDFT를 통과시켜서 나온 signal을 확인한 결과, original signal과 일치하므로 IDFT도 잘 동작한 것을 확인할 수 ... Digital Signal Process 융합전자공학부 2014002742 김명훈 1. ... 그러므로 IDFT의 phase를 보면 original signal에 비해 약간의 shifting이 일어났을 것이라는 것을 예상할 수 있었다. 4.
    리포트 | 3페이지 | 1,000원 | 등록일 2019.10.02
  • 파일확장자 부경대학교 디지털신호처리 4장 솔루션 및 족보
    시험자료 | 21페이지 | 4,000원 | 등록일 2020.12.21 | 수정일 2023.12.08
  • 한글파일 Result - NMR Analysis of Methyl Benzoate
    Did process of pre-acquisition (sample spinning, locking the signal, regulate the probe, shimming magnetic ... The CDCl3 solvent signal is a common feature of carbon NMR spectra, and it can be used as an internal ... (deutrated chloroform) is a common solvent for 13C NMR because the spectrometer can “lock” onto the signal
    리포트 | 1페이지 | 1,000원 | 등록일 2019.09.19
  • 한글파일 동물발생학 chapter9 번역 및 정리본
    : 다수의 세포들이 농도가 다른 signal에 따라 서로 다른 respond발생 3. cell signaling 1) diffusion : signal transduction 2) ... 서로 융합되 intercellular로 signal전달(intracellular transfer와 상관X) 9-7 inductive signal의 반응은 세포의 state(상태)에 ... direct contact : extracellular matrix등의 매개체를 통해 연결되 signal 전달 3) gap junction : physical contact로 세포막이
    리포트 | 2페이지 | 1,000원 | 등록일 2020.03.28
  • 파일확장자 FPGA [package & function & procedure]
    그리고 signal ( ... process선언부, 즉 process와 begin 사이에 선언하였다. architecture선언부와 다른 점은 procedure 위치가 architecture와 begin사이가 ... 하며 process maxmin() 괄호안에 처음에 선언한 port입출력값의 순서를 맞춰서 설계해야만 원하는 값을 얻을 수 있을 것이다. procedure에서 출력값(c) (d)
    리포트 | 8페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 한글파일 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 6 보고서
    TFT-LCD Timing Signals The TFT-LCD synchro signal process can be described by “H-SYNC” and “V-SYNC.” ... A clock signal, a reset signal, and a 1-bit switch signal are the inputs. ... as the input input nRESET, //a reset signal as the input output TCLK, //a clock signal of the TFT-LCD
    리포트 | 18페이지 | 3,000원 | 등록일 2020.08.18
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업