• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(993)
  • 리포트(975)
  • 시험자료(10)
  • 자기소개서(7)
  • 논문(1)

"counter 회로" 검색결과 1-20 / 993건

  • 워드파일 논리회로설계실험 9주차 counter설계
    이때 Single counter와 Up-down counter와 같은 counter들의 modeling 방식을 참고하여 구현할 수 있다. ... counter의 기본 구조이다. ... 또한 두 ripple counter의 출력 wave가 일치하므로 정상적으로 구현하였음을 알 수 있었다. 4.2) Ring counter Ring counter의 simulation
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 워드파일 논리회로설계실험 10주차 up down counter설계
    Module instantiation 부분에서는 구현한 두가지의 Moore counter, Mealy counter의 module을 선언하였다. ... . 3.3) Testbench Testbench code의 input에는 Moore counter와 Mealy counter 둘 다 reg로 RESET, MODE를 선언하였다. output에는 ... Moore counter에서는 OUT, STATE, NEXT_STATE를 wire로, Mealy counter에서는 OUT, STATE를 wire로 선언하였다.
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.11
  • 워드파일 FPGA Board를 이용한 FSM회로의 구현 (up-counter) 결과레포트
    FPGA Board를 이용한 FSM회로의 구현 (up-counter) 결과레포트 1. 실험 제목 1) FPGA Board를 이용한 FSM회로의 구현 (up-counter) 2. ... 동기 카운터 설계를 할 때에는 간단한 up카운터 일지라도 진리표를 그리고 카르노 맵으로 논리를 간소화한 뒤 회로를 구성해야 했다. ... 그에 비해 Verilog HDL과 FPGA를 이용해 카운터를 설계할 때는 count = count + 1; 과 같이 간단한 코드로 논리를 만들 수 있어서 간편했다.
    리포트 | 2페이지 | 1,000원 | 등록일 2022.11.06
  • 워드파일 FPGA Board를 이용한 FSM회로의 구현 (up-counter) 예비레포트
    FPGA Board를 이용한 FSM회로의 구현 (up-counter) 예비레포트 1. 실험 제목 1) FPGA Board를 이용한 FSM회로의 구현 (up-counter) 2. ... Vivado Simulation Result module code testbench code simulation waveform 6. ... 이 논리를 이용하여회로를 구성한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.11.06
  • 한글파일 디지털논리회로실험(Verilog HDL) - Real-time clock, counter
    Use the button switch KEY0 to toggle the up/down behaviors of the counters, and KEY1 to reset the counter ... Can design using oscillator, register, and down-counter. 2. ... to decrement the contents of the counter at one-second intervals.
    리포트 | 8페이지 | 1,000원 | 등록일 2019.08.29
  • 파일확장자 (logisim)mod-8counter를 이용한 잭팟 논리회로&보고서
    "(logisim)mod-8counter를 이용한 잭팟 논리회로&보고서"에 대한 내용입니다.
    리포트 | 1,500원 | 등록일 2019.11.10
  • 한글파일 디지털논리회로실험(Verilog HDL) -BCD counter, HEELO shifter
    Use a counter to determine the one second intervals. ... Part Ⅳ : BCD 카운터 설계◉실험목적 : 50-MHz clock을 사용하여 counter를 구현해본다.(1) SummaryDesign and implement a circuit ... Non-blocking Assignments◉50-MHz clock- 1초를 카운트 하기 위해 50000000번 카운트 해야한다.- 50000000을 저장하기 위해 26bit가 필요함
    리포트 | 6페이지 | 1,000원 | 등록일 2019.08.29
  • 한글파일 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 카운터설계(counter) 예비
    _{(2)}가 되는 경우에 clear시키기 위해 4-input NAND GATE ,2-input AND GATE를 하나씩 이용했다. ... 그림 11-1의 8진 동기 카운터의 회로도를 참고하여 16진 동기 카운터의 회로도를 그린다. ... Q _{1} ,``Q _{2}의 주파수는 각각 0.5(MHz), 0.25(MHz)이다. 2. 8진 비동기 카운터 설계 8진 비동기 카운터의 회로도를 그린다.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.12.23
  • 한글파일 counter 회로
    REPORT 제목 : counter 회로 수강과목 : 기초전자실험2 1.실험목적 -counter 회로의 동작원리에 대해 이해하고 실험을 통해 확인한다. 2.실험 배경 이론 counter ... 또한 counter 회로의 종류의 차이를 알 수 있었습니다. ... 회로 -입력되는 펄스의 수를 세는 장치 -플립플롭을 연속적으로 연결하여 구성 플립플롭의 연결숫자에 의해 count 개수가 결정 counter의 종류 -비동기식 카운터(asymchromous
    리포트 | 10페이지 | 1,000원 | 등록일 2018.11.02
  • 한글파일 counter 회로의 비동기식 counter와 디코더, 7-segment의 동작원리에 대해 이해하고 실험을 통해 확인한다
    회로:10진 카운터 up-counter:0~9 7-segment를 이용하여 숫자로 확인할 수 있도록 제작 JK플립플롭과 NAND 게이트를 이용한 비동기식 counter회로 위의 회로의 ... REPORT counter 회로의 비동기식 counter와 디코더, 7-segment의 동작원리에 대해 이해하고 실험을 통해 확인한다. ... 이론 counter 회로 -입력되는 펄스의 수를 세는 장치 -플립플롭을 연속적으로 연결하여 구성 플립플롭의 연결숫자에 의해 count 개수가 결정 counter의 종류 -비동기식
    리포트 | 6페이지 | 1,000원 | 등록일 2018.11.02 | 수정일 2020.01.22
  • 워드파일 [논리회로실험] 실험8. counter
    과 목 : 논리회로설계실험 과 제 명 : Binary/gray counter 설계 담당교수 : 김종태 교수님 학 과 : 학 년 : 3 학 번 : 이 름 : 제 출 일 : 2013.05.21 ... 그리고 분주회로 설계 시 clk를 count할 때 사용되는 clk_d를 선언한다. 첫 번째 process는 '분주회로'이다. ... 이번에 설계한 counter는 'Binary/gray Counter'이다.
    리포트 | 17페이지 | 2,000원 | 등록일 2014.03.22
  • 파워포인트파일 counter 응용회로
    푸쉬스위치의 버튼을1회 누를 시 10초간 동작하는 회로를 만들어 본다. 동작 시에 버튼이 눌리면 시간은 누적되도록 한다. ... 이 부분이 저희가 사용한 최종 출력단으로 이곳에 릴레이를 달아서 다운카운트 되는 동안만 드라이기가 켜지는 동작을 하는 회로를 만들었습니다. ... 목차 설계 개요 개념 블록도 회로도_예비 _최종 설계결과물 트러블슈팅 소요부품 조원역할분담 향후 추진일정 설계 개요 카운터와 시프트레지스터 및 게이트를 응용한 설계작품을 제작.
    리포트 | 7페이지 | 10,000원 | 등록일 2009.10.08 | 수정일 2023.10.12
  • 한글파일 아주대 논리회로실험 counter 예비
    카운터는 클럭 펄스 인가 방식에 따라 동기식 카운터(synchronous counter)와 비동기식 카운터(asynchronous counter)로 구별한다. ... 논리회로 실험 예비보고서 실험8. Counter 1. ... ->동기식 카운터(synchronous counter) 모든 플리플롭이 clock 펄스에 의해 동시에 Trigger되는 카운터이며 clock 펄스는 하강 edge에서 Trigger되고
    리포트 | 5페이지 | 1,000원 | 등록일 2013.11.29
  • 한글파일 아주대 논리회로실험 counter 결과보고서
    논리회로실험 결과보고서 실험8. counter 실험 1) 2단 2진 Counter 비동기식 Counter - J-K F/F을 통한 2단의 2진 값을 AND 게이트를 이용하여 확인 - ... 실험1을 약간만 수정하면 쉽게 실험2번 회로를 구성할 수 있었기 때문이다. ... 각각의 단에서 나오는 출력을 합치면 총 4개이므로 출력들을 LED에 연결해주어야 하며 clock 펄스를 인가했을 때 불이 순서대로 깜빡이며 계속 순환된다는 것을 확인 할 수 있었다.
    리포트 | 9페이지 | 1,000원 | 등록일 2013.11.29
  • 워드파일 논리회로실험 counter 예비보고서
    주요이론 Counter - 실험에서는 F/F을 이용한 Counter와 BCD counter를 사용한다. - F/F을 이용하는 경우 최하위 Bit부터 채워지는 2진 counter를 기본 ... - 2단 2진 counter의 결과 확인 가능한 출력의 개수에 맞추어 AND gate를 구성한다. ... 전송지연을 없애기 위해서 모든 F/F들은 같은 clock 펄스에 의해 동시에 트리커시킬 필요가 있으며 이러한 카운터를 동기식 카운터(synchronous counter)라고 한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2012.03.08
  • 한글파일 논리회로실험 실험8 counter 결과보고서
    실험 결과 : 실험 1 예상 결과 실험결과 사진 clock bit1 bit2 bit3 bit4 bit4 bit3 bit2 it1 0 5 0 0 0 1 0 5 0 0 2 0 0 5 0 ... 실험 결과 : 실험 3 예상 결과 실험결과 사진 clock LED 표시 숫자 7 segment 0 0 1 1 2 2 3 3 4 4 5 5 6 6 7 7 8 8 9 9 ? ... 실험 과정 → 회로 설계대로 브레드보드에 회로를 설치한다. → 출력을 나타내기 위하여 NAND게이트를 사용하여 각 표현형 마다 하나의 LED가 켜지도록 한다. → 회로에 1Hz클럭을
    리포트 | 9페이지 | 1,000원 | 등록일 2013.09.08
  • 한글파일 인하대학교 전자공학과 전자회로실험2 결과보고서 timer counter (타이머 카운터)
    값을 기록한다. counter register 는 0으로 초기화 된후 증가하다 compare register 과 값이 같으면 인터럽트가 발생한다. ... 클럭(clock) 클럭은 일정한 시간 간격으로 LOW와 HIGH가 번갈아 나타나는 시계(디지털 회로의 전기 진동수)를 말한다. ... 2)Output Compare Match Interrupt : 두 개의 레지스터가 필요하다. counter register 는 횟수를 기록하고 compare register 는 n
    리포트 | 5페이지 | 1,000원 | 등록일 2017.12.25
  • 한글파일 디지털전자실험 - counter 회로
    ), 74xx08(AND), 74xx160(동기식 BCD 십진 counter) 논리상태 확인용 : LED, FND 실험 3-1 4-bit 비동기식 업 카운터 회로 회로도 설치방법 위의 ... 비동기 카운터의 전파지연 - 비동기 카운터는 보통 리플 카운터(ripple counter)라고도 한다. 입력 클럭 펄스는 우선 첫 번째 플립플롭에 영향을 준다. ... : 74xx00(NAND), 74xx76(JK Flip-Flop), 74xx47(BCD-to-7 segment decoder), 74xx93(4-bit binary ripple counter
    리포트 | 12페이지 | 2,000원 | 등록일 2009.01.30
  • 한글파일 논리회로실험 counter resister
    실험 3. 10진 디코더를 갖춘 BCD 카운터 (단일펄스) ※결과 분석 7490을 거쳐서 발생되는 파형 A, B, C, D는 다음과 같다. 7442의 진리표 및 논리회로도를 바탕으로 ... 그래서 실험의 회로가 구성되었으며, 회로로부터 역으로 생각해보면 위의 표와 일치하는 이론적인 결과값을 얻을 수 있다. ... , 1번핀의 출력은 A', B', C', D' 의 논리곱의 보수출력, 즉 도표의 0의 파형을 나타내었고, 6번핀의 출력은 A, B', C, D' 의 논리곱의 보수출력, 5의 파형을
    리포트 | 3페이지 | 1,500원 | 등록일 2008.01.17
  • 파일확장자 [디지털논리회로실험]텀프로젝트 - Finite state machine과 counter를 이용한 메시지 전송기 설계(FPGA)
    Flip-flop 회로로 구성한 2진 counter나 n진 counter, ring counter, Johnson counter등이 있고, 10진수로 변환하여 표시한다. ... 회로의 작동순서(FSM)2. 설계 전체 회로3. 사용 세부 회로
    리포트 | 17페이지 | 2,000원 | 등록일 2014.05.06 | 수정일 2014.06.29
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업