• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(614)
  • 리포트(596)
  • 시험자료(14)
  • 자기소개서(2)
  • 논문(1)
  • 방송통신대(1)

"8bit counter" 검색결과 1-20 / 614건

  • 한글파일 VHDL 실습 (D-FF, JK-FF, 8-bit counter) 예비
    VHDL 실습(D-FF, JK-FF, 8-bit counter) 예비보고서 가. ... 다. 8bit-Counter 8비트 카운터는 8비트의 정보들로 이루어진 신호가 어떠한 입력에 따라 이미 정해져 있는 다음 상태(8 비트로 이루어져 있는 어떠한 정보)로 넘어가는 카운터이다 ... [그림 8-3] 2비트 상향 카운터의 상태도 [그림 8-4] 2 비트 하향 카운터의 상태도 5) 직렬 카운터와 병렬 카운터 그림 8-1의 카운터 구조는 가끔 동기식 직렬 카운터라고
    리포트 | 5페이지 | 1,000원 | 등록일 2021.01.06
  • 한글파일 [Ayeun] 마이크로프로세서응용 6,7주차 결과보고서 마프(ATmega128,8bit timer,counter,스탑워치과제 코드)
    : 비교일치상황에서 출력 레벨을 반전시키는 모드 - (8비트 PWM + 16비트 PWM)신호변조기 기능 : 변조기기능 ≫ 8비트 PWM 신호와 16비트 PWM 신호를 AND또는OR ... #부록(7주차 결과보고서 실습코드 + counter부 회로도) 1. ... 마이크로프로세서응용 결과보고서 제목 6주차 결과보고서 + 7주차 결과보고서 = 부록으로 포함 학과 전자공학과 학번 성명 제출일 2018. 11. 21 확인 1. 8Bit Timer
    리포트 | 19페이지 | 1,000원 | 등록일 2018.12.22
  • 한글파일 D-FF을 이용한 8bit counter 설계
    D-FF을 이용한 8bit counter 를 설계하라 (구조적 모델링) LIBRARY ieee; USE ieee.std_logic_1164.ALL; entity upcounter8 ... of upcounter8 is component DFF Port (clk, D : in std_logic ; Q, QB : out std_logic ) ; end component ... (1), cval_b(2), cval(2), cval_b(2)) ; d3 : DFF port map (cval_b(2), cval_b(3), cval(3), cval_b(3)) ;
    리포트 | 2페이지 | 1,500원 | 등록일 2008.12.07
  • 워드파일 디시설, 디지털시스템설계 실습과제 8주차 인하대
    N bit binary up/down counter 그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과 그림2 : waveform (eup = 1) 그림3 : waveform ... binary up down counter를 cascadable하게 구현했다. ... 이제 두번째 문제인 16 8bit register file을 살펴보자. 16개의 칸에 8bit의 값을 입력 받는다. 따라서 파라미터 M, N, W 3개를 입력 받았다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    입력으로 clk, 8bit binary 그리고 출력으로 자리 수 변수와 segment에 나타날 값을 변수설정 ▼입력한 8bit binary를 hundreds, tens, ones에 ... 실험 결과 (1) 실습1 설계 조건 4-bit up counter의 출력 값을 single FND에 표시 1. up counter 설계 2. static 7 segment를 모듈화해서 ... 같은 선언부 및 변수를 가지는 모듈 설계 조건 code pin설정 Combo box 실험결과 (5) 실습5 8-bit 2’s complement signed binary 입력을 받아서
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    array에 -128 ~ 127 로 표현하는 모듈 설계 조건 *코드가 자꾸 WARNING이 떠서 시뮬레이션을 확인할 수 없었다. code (6) 실습6 4-bit up-down counter의 ... 실험 방법 (1) 실습1 설계 조건 4-bit up counter의 출력 값을 single FND에 표시 1. upcounter 설계 2. static 7 segment를 모듈화해서 ... 가지는 모듈 설계 조건 code simulation (5) 실습5 8-bit 2’s complement signed binary 입력을 받아서 이를 decimal 로 변환하여 FND
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 워드파일 논리회로설계실험 10주차 up down counter설계
    S0부터 S7까지 총 8개의 state가 필요할 것이므로 3-bit의 state가 필요하고, output과 next_state도 마찬가지로 3-bit가 필요할 것이다. 2.2) Mealy ... S0부터 S7까지 총 8개의 state가 필요할 것이므로 3-bit의 state가 필요하고, output도 마찬가지로 3-bit가 필요할 것이다. 3) Verilog Implementations ... 이러한 특징을 이용하여 3-bit up-down counter를 Moore machine-style diagram으로 그려보았다.
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.11
  • 한글파일 서울시립대 전자전기설계2(전전설2) 8주차 결과보고서
    실습 1 4-bit up counter의 출력 값 single FND 표시 위는 4비트 업카운터의 코드이다. 4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 ... 실습 5(binary to bcd conversion algorithm 조사) 실습 5는 들어온 8비트 입력의 값을 음수를 포함하는 10진법 입력의 값으로 변환하여 출력하는 모듈이다 ... 기존 2진법 수가 8 bit 로 이루어져 있다면 8번 shift 해야한다. (음수를 표현한다면 7번 shift 해야한다.)
    리포트 | 15페이지 | 1,500원 | 등록일 2019.11.05
  • 한글파일 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    실습 1 4-bit up counter의 출력 값 single FND 표시 위는 4비트 업카운터의 코드이다. 4비트 업카운터를 구성하기위한 변수 선언을 하였으며, 이전에 작성한 적이 ... 실습 6 실습 6은 4-bit up-down counter를 2개로 병렬로 구성하는 모듈을 작성하는 것이다. ... 기존 2진법 수가 8 bit 로 이루어져 있다면 8번 shift 해야한다. (음수를 표현한다면 7번 shift 해야한다.)
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 워드파일 서강대학교 21년도 디지털논리회로실험 9주차 결과레포트 (A+자료) - ROM, RAM, Dot matrix, key matrix
    따라서 이 counter는 원래 4-bit counter이지만, 3-bit counter로서 동작하게끔 변형했다는 것을 알 수 있다. ... 일단 8-bit counter인 CB8CE를 사용한다면, clock의 frequency를 8M/(28), 대략30kHz로 줄일 수 있다. ... 하지만 이는 너무 높은 주파수라서, 8-bit counter인 CB8CE를 이용하여 frequency를 divide(분주)해야 한다.
    리포트 | 35페이지 | 3,000원 | 등록일 2022.09.18
  • 워드파일 시립대 전전설2 Velilog 예비리포트 7주차
    bit up counter with a synchrounous reset (5) Mealy Machine for the Serial I/O code converter (6) 74LS193A ... bit up counter with a synchrounous reset (1) 로직 설계 및 컴파일 및 코드 분석 (2) 핀 설정 5. ... A,B,C,D : 4비트의 Input값을 넣어주는 부분이다. LOAD : Count시킬 때는 이 입력은 High로 주고 해야 Output이 Load된다.
    리포트 | 17페이지 | 1,000원 | 등록일 2021.04.16
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 6주차 Lab06 결과 레포트 Sequential Logic 1, 전자전기컴퓨터설계실험2,
    실험결과 또한 한비트 씩 이동함을 확인할 수 있었다. 6) 실습[6] 4-bit counter code test bench simulation pin ▼Reset을 눌렀을 때 ▼Load가 ... 왜냐하면 blocking 할당문을 사용하게 되면 의한 순간적으로 의도치 않은 상태가 발생할 수 있기 때문이다. 2) 4bit counter 4bit카운터를 설계할 때 여러가지 요소들이 ... 이 경우를 제외하고 bus sw1을 다시 0으로 설정하였으며 한 비트 씩 이동됨을 확인할 수 있었다. 5) 실습[5] 4-bit SIPO for 문 사용 code test bench
    리포트 | 25페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(결과) / 2021년도(대면) / A+
    제어, 4-bit bcd 입력을 받아서 single FND를 제어하는 8-bit 신호 (‘점’을 포함) out을 출력하는 모듈, FND array를 제어, 8-bit 2’s complement ... Result of this lab (1) [실습 1] 4-bit up counter의 출력 값을 single FND에 표시하시오. ... Source code Testbench PIN testbench 시뮬레이션 결과 설계한 4-bit up counter의 동작을 확인하는 모습 (장비 동작 경우의 수가 많아 일부만 첨부
    리포트 | 17페이지 | 2,000원 | 등록일 2022.07.16
  • 워드파일 서울시립대학교 전전설2 8주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    Binary = 8’b1000,0000 (6) Results of Lab 6 4-bit up-down counter의 출력 값을 FND Array에 표시하시오. ... 이러한 과정을 통해 4-bit-up-down counter를 구현할 수 있었습니다. 3. Conclusion (결론) 가. ... Data analysis (compare results, reasons of error) 1) 실습 1 이 실습은 4-bit의 up counter를 single FND를 활용해 표시해주는
    리포트 | 30페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.25
  • 한글파일 울산대학교 디지털시스템 기말고사
    UP의 0,1로 UP카운터와DOWN카운터로 컨트롤 2. 8 bit Johnson counter를 D flip flop을 사용해서 설계하시오. 3. 8 bit shift right register를 ... Test1. 2(0010)+8(1000)=10(0000),(C=1) Test2. 9(1001)+9(1001)+C=19(1001),(C=1) Test3. 2(0010)+3(0011)= ... D flop flop을 이용하여 설계하시오. 4. half adder와 full adder를 설계하고 이를 이용하여 4 bit BCD addder를 설계하시오.
    시험자료 | 4페이지 | 2,000원 | 등록일 2020.12.27
  • 한글파일 VHDL 실습(8bit Counter, State Machine) 결과
    한다. 4비트 2개를 8비트로 나타내기위해 &를 사용하였고 Count에 변환하여 출력이 된다. ... ☞ 이번에 설계한 8비트 카운터는 4비트 카운터 2개를 이용하여 구성하였다. 2개 중 왼쪽(앞쪽)에 있는 4비트 카운터의 Carry값이 1일 때만 동작하게 한다. ... 즉 오른쪽(뒷쪽) 4비트 카운터의 상태가 “1111”일 때 T가 1이 들어오면 Carry가 발생 해 다음 카운터는 Carry값 1을 T값으로 받으면서 왼쪽 4비트 카운터가 동작하도록
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.06
  • 워드파일 서강대학교 23년도 마이크로프로세서응용실험 9주차 Lab09 결과레포트 (A+자료)
    또는, 3.57us가 8x8 dotmatrix의 LED의 응답속도보다 빠르기 때문에, 그림 C-2와 같이 글자가 번지게 되는 현상이 발생하는 것일 수도 있다. 따라서 외부 al ... forced active/inactive: OCxRef가 counter value에 관계없이 특정 event에 대해 hi DIR bit가 0이기에 upcounter로 동작한다. ... . - 타이머 clock에 스위치 신호를 연결하여 counter로 사용하는 방법을 이해한다. 이론 2-1.
    리포트 | 38페이지 | 2,000원 | 등록일 2024.03.24
  • 워드파일 기초실험1 7 segment counter 결과보고서(틴커캐드)
    다음 실험은 counter를 이용해 입력되는 비트 수는 4이지만 출력은 0000부터 1001까지 변하는 counter를 구현하는 실험이었다. ... 그래서 저항(145옴)을 통해 전류의 양을 조절했다. 2. counter (1) 4 bit decade counter : 4bit decade counter를 이용해 입력되는 값이 ... 또한, 이를 이용해 7 segment와 연결해, clock을 1Hz로 주어 1초마다 숫자가 증가하는 것을 확인할 수 있었다. 1초마다 출력값이 바뀌는 4bit decade counter
    리포트 | 7페이지 | 1,000원 | 등록일 2023.03.12 | 수정일 2023.11.29
  • 워드파일 서강대학교 21년도 디지털논리회로실험 프로젝트 3단계 보고서 (A+자료)
    그림(c)는 3-bit counter를 보여준다. ... 신호를 8-bit로 변환하기 위해 8-bit register을 사용하였고, controlUnit에서 register의 CLR과 enable 신호를 조작하기 위해 FD8CE를 사용하였다 ... 우선 가위 바위 보, 물음표의 패턴을 디자인한 후, 각 column의 정보를 8개의 64bit-ROM에 저장하였다. address는 8-bit 단위로 하나의 패턴을 의미하게 된다.
    리포트 | 26페이지 | 3,000원 | 등록일 2022.09.18
  • 워드파일 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    그리고 곱셈의 결과는 5bit에서 8bit까지 4번의 동작으로 결정된다. ... Shift register를 이용한 multiplier 설계 이진수의 곱셉 과정은 마치 하나의 동작이 되풀이되는 모습과 같다. 4-bit 두 수를 곱해서 8-bit가 나오기까지, multiplier의 ... counter의 timing diagram -Johnson counter shift out되는 output의 complement를 feedback시키는 counter를 johnson
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업