• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(264)
  • 리포트(224)
  • 자기소개서(39)
  • 시험자료(1)

"VHDL 설명" 검색결과 1-20 / 264건

  • 한글파일 10장 VHDL 설명 및 문법 예비
    10장, VHDL 설명 및 문법 예비보고서 1. 목적 가. VHDL의 특징과 설계기법에 대하여 학습한다. 나. VHDL 설계를 위한 기본적인 문법을 학습한다. 2. 이론 가. ... RTL 형태를 사용하여 VHDL의 순차문을 주로 사용하여 표현하는 기법이다. 3) 구조적 모델링기법설명 3가지 모델링 기법 중 하드웨어에 가장 가까운 모델링 기법을 의미한다. ... VHDL에 의한 설계 단계별 문법 설명 1) 엔티티 선언 - 하드웨어 블록의 이름과 입출력포트 선언 프로세스의 입출력과 같이 전체의 회로에서 단지 하나만이 존재하며 하나의 엔티티가
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 파워포인트파일 VHDL DFF소스,시뮬레이션,설명
    DFF with Asynchronous RESET VHDL contents DFF source - d, rst , clk 은 1bit 입력 - q 는 1bit 출력 DFF 은 rst
    리포트 | 10페이지 | 1,000원 | 등록일 2014.12.18
  • 파워포인트파일 VHDL updowncounter소스,시뮬레이션,설명
    3 BIT_UPDOWN COUNTER WITH SYNCHRONUS RESET VHDL contents 1bit_dff Source In put : clk , reset, d Out
    리포트 | 9페이지 | 1,000원 | 등록일 2014.12.18
  • 한글파일 [대충] 예비 VHDL 설명 및 문법
    디지털공학실험(예비보고서) 실험 : VHDL 설명 및 문법 1. 실험 목적 VHDL의 특징과 설계 기법에 대하여 학습하고, 설계를 위한 기본적인 문법을 학습한다. 2. ... VHDL에 의한 설계 단계별 문법 설명 ①엔티티 선언 -하드웨어 블록의 이름과 입출력 포트 선언 ②아키텍쳐 몸체 선언 -하드웨어 내부를 표현한다. ③프로세서문 ④순차문 ? ... -예비보고 사항 ①, ② 모두 위의 실험이론에서 설명을 하였기에 생략하겠습니다. 4.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.01.17
  • 한글파일 [대충] 결과 VHDL 설명 및 문법
    디지털공학실험(결과보고서) 실험 : VHDL 설명 및 문법 ◆실험 가. NOT gate 실습 나. NAND gate 실습 다. ... 처음 VHDL을 사용해봤고 프로그램을 만드는 것도 생소하였기에 익숙하지 않아 시간이 제법 오래 걸렸습니다. ... XOR gate 실습 ◆검토 및 고찰 VHDL의 특징과 설계 기법에 대하여 학습하고, 설계를 위한 기본적인 문법을 학습했습니다.
    리포트 | 4페이지 | 1,000원 | 등록일 2015.01.17
  • 파워포인트파일 VHDL 디지털 시계(소스,시뮬레이션,설명)
    d gital clock 2v Index Clk_div Dclk_2v Bcd_seg dclk waveform clok div Generic 을 이용하여 n 을 99 로 정의한다 입력 : clkin 출력 : clkout Clkin 에 의해서 clkout 값이 영향 받음 ..
    리포트 | 16페이지 | 1,000원 | 등록일 2014.12.18
  • 파워포인트파일 VHDL 4bit-fulladder소스,시뮬레이션,설명
    4- BIT FULL ADDER VHDL contents 1bit-Fulladder source 1bit_fulladder Design name = fadder x, y,z = input
    리포트 | 10페이지 | 1,000원 | 등록일 2014.12.18
  • 한글파일 [토끼] 10진 카운터 VHDL 구현 및 합성, 분석 _ 상세 설명, 코드 기재
    우리가 이번시간에 확인하고자 하는 글리치 현상은 훨씬 줄일 수 있을 것이고 시뮬레이션 프로그램에 설정된 파라미터도 좀더 개선되어 질거라 생각된다. (1-1) 10진 카운터의 구현 - Vhdl
    리포트 | 16페이지 | 5,000원 | 등록일 2013.01.16 | 수정일 2020.07.13
  • 파일확장자 신호등설계 VHDL 설명과주석포함
    VHDL로 설계한 신호등 입니다 각 주석이 달려있고 설명을 위한 PPT입니다. 4방향 교차로 신호등 4개를 가지고 있는 신호등
    리포트 | 5,000원 | 등록일 2008.02.21 | 수정일 2014.07.02
  • 파일확장자 [디지털시스템]디지털 시계의 VHDL Code 구현 및 설명 (스톱위치, 시간세팅, 시간) & MAX PLUS 2 사용법 설명,
    - DIGITAL WATCH SYSTEM 의 버튼 별 역할 및 기능 설명. - DIGITAL WATCH 설명VHDL CODING 및 각 CODE 별 설명. ... DIGITAL WATCH SYSTEM 설계 - DIGITAL WATCH SYSTEM 의 설명 및 상태도 설명. ... - DIGITAL WATCH VHDL CODING 에 대한 결과 SIMULATIONDIGITAL WATCH SYSTEM VHDL CODE 일부.-- 1] TIME_MODE PART
    리포트 | 23페이지 | 1,500원 | 등록일 2006.01.13
  • 한글파일 VHDL-1-가산기,감산기
    이렇게 NOT을 구현하고 Carryin에 M을 연결해서 1일 경우 회로에 1이 더해지도록 한다. 2.2 소스코드 설명(VHDL) 소스코드 설명 library ieee; use ieee.std_logic ... 시뮬레이션 결과 및 설명 Schematic VHDL X는 50ns 간격으로, Y는 100ns 간격으로, Cin은 200ns 간격으로 설정했다. ... VHDL) 소스코드 설명 library ieee; use ieee.std_logic_1164.all; entity Half_Adder is port( X : in std_logic;
    리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • 한글파일 Mux&Decoder2차레포트 디지털회로설계
    제 1장 서론 1-1 1차 레포트의 필요성 및 목적 1-2 오늘 실습내용의 이론 설명 제 2장 각각의 schematic디자인, VHDL디자인 (1) 1비트 2x1 Mux Schematic ... , VHDL (2) 2비트 2x1 Mux Schematic , VHDL (3) 1비트 1x2 Demux Schematic , VHDL (4) 2x4 Decoder Schematic ... , VHDL (5) FND Decoder VHDL 을 Schematic과 VHDL 설계하고 DE2 보드로 작동하기 제 3장 요약 및 결론 레포터의 목적 (1) 1비트 2x1 Mux
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • 파일확장자 A+학점인증 디지털시스템설계 과제3 보고서 묵찌빠 게임 State Machine Design(코드, 설명 포함)
    목표- 아래와 같은 조건의 묵찌빠게임을 State machine으로 설계하고 VHDL을 이용하여 구현할 수 있다.
    리포트 | 11페이지 | 4,000원 | 등록일 2021.04.07
  • 파일확장자 A+학점인증 디지털시스템설계 과제2 보고서 Combinational Logics(코드, 설명 포함)
    Write a VHDL program of 74X381.2. ... Write a structural VHDL program of the blackbox.4. ... 목표 - 주어진 논리 회로에 대해 VHDL프로그램을 이용하여 설계하고 test bench를 이용하여 시뮬레이션을 하여 결과를 확인할 수 있다.1.
    리포트 | 8페이지 | 3,000원 | 등록일 2021.04.07
  • 워드파일 [논리회로설계실험]VHDL을 활용한 LCD설계
    동작시키는 지를 설명하였다. ... 배경이론(Background)에서 각 process의 역할을 설명하였다. 2. ... /" http://www.digital-circuitry.com/Wordpress/hd44780-lcd-display-interfacing-with-altera-fpga-vhdl/
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.26
  • 워드파일 [논리회로설계실험]VHDL을 활용한 CLOCK설계
    FPGA(Rov-Lab 3000) 2) Process별 설명 총 5개의 process를 코딩으로 구현하여 clock을 만든다. ... VHDL에서 코딩과정은 시간의 각 자리숫자를 하나씩 연산하여 나타내게 되는데, 각 자리 숫자가 나타나는 시간 간격이 매우 짧아(50us) 우리 눈에는 동시에 모든 자리 숫자가 연산 ... Source & Results 1)VHDL Source 2)Testbench Source 3)Result wave 이번 실습에서는 클럭수가 많기 때문에, 값이 바뀌는 부분들을 확대하여
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 한글파일 VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    문장을 작성했는데 문제가 있어서 이와 같은 방법을 썼다. 98) adder를 거치고 난 후 의 2진수를 temp에 할당했다. 100~110) double dabble 알고리즘을 VHDL ... 시뮬레이션 결과 및 설명 I, S를 100ns를 기준으로 랜덤하게 배정했다. ... 시뮬레이션 결과 및 설명 A_4, B_4를 50ns를 기준으로 랜덤하게 배정했다. S는 100ns마다 증가하는 신호를 넣었다.
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 워드파일 [논리회로설계실험]VHDL을 활용한 Calculator 설계
    Source & Results 1)VHDL Source 1-1)Lcd_display 1-2)lcd_test 1-3)data_gen 2)TestBench source 3)Result ... 추가적으로, 22번째 state는 줄바꿈이다. 2)Algorithm 설명 및 이해 각 process가 어떤식으로 값을 주고받고, LCD를 동작시키는지를 설명하였다. 2-1) LCD_test ... 딥스위치(8비트) 3)process별 역할 설명 상위에 lcd_display 모듈을 만들고, component형식으로, lcd_test와 data_gen을 불러오는 방식으로 코드를
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • 한글파일 BCD 가산기 설계 결과보고서
    설계할 때의 장단점을 설명하라. ... Verilog, VHDL ; 가산회로는 부호를 고려하지 않아도 되지만, 감산회로는 부호를 고려해야 한다. 부호비트를 뺀 나머지 비트에 대해 2의보수를 취한다. 3. ... 0111” “1110” F9 “1101” “0010” 0B 2. n비트 가산기/감산기의 예에서 입출력 비트 수가 많아질수록 Schematic으로 설계 할 때와 Verilog 또는 VHDL
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 파일확장자 A+학점인증 디지털시스템설계 과제1 보고서 Structural and Behavioral Designs(코드, 설명 포함)
    목표 - 아래에 주어진 논리 회로에 대해 VHDL프로그램을 이용하여 Structural program형식과 Behavioral program형식을 설계하고 test bench를 이용하여
    리포트 | 7페이지 | 3,000원 | 등록일 2021.04.07
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업