• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(292)
  • 리포트(277)
  • 논문(6)
  • 자기소개서(5)
  • 시험자료(2)
  • 방송통신대(1)
  • 이력서(1)

"Programmable logic c" 검색결과 101-120 / 292건

  • 워드파일 전자전기컴퓨터설계실험2(전전설2)6주차예비
    Xilinx 프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는 ... Preliminary Report 주 제: Lab#06 Sequential_Logic_Design_Ⅰ @ Flip-Flop, Register and SIPO 지도교수 : 신 창 환 ... simulati검증하는 시뮬레이션으로 결과 파형에 delay time의 요소가 없다. timing simulation 하드웨어적인 요소가 반영된 시뮬레이션으로 target 디바이스와 핀 설정, 내부 Logic
    리포트 | 12페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 워드파일 전자전기컴퓨터설계실험2(전전설2)5주차결과
    Xilinx 프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는 ... ‘ Post Report 주 제: Lab#05 Combinational_Logic_Design_Ⅱ @ Decoder, Encoder and Mux 지도교수 : 신 창 환 교수님 실험조교 ... 검증하는 시뮬레이션으로 결과 파형에 delay time의 요소가 없다. timing simulation 하드웨어적인 요소가 반영된 시뮬레이션으로 target 디바이스와 핀 설정, 내부 Logic
    리포트 | 20페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 워드파일 전자전기컴퓨터설계실험2(전전설2)7주차예비
    Xilinx 프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는 ... Preliminary Report 주 제: Lab#07 Sequential_Logic_Design_Ⅱ @ Flip-Flop, Register and SIPO 지도교수 : 신 창 환 ... 검증하는 시뮬레이션으로 결과 파형에 delay time의 요소가 없다. timing simulation 하드웨어적인 요소가 반영된 시뮬레이션으로 target 디바이스와 핀 설정, 내부 Logic
    리포트 | 17페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 워드파일 전자전기컴퓨터설계실험2(전전설2)5주차예비
    Xilinx 프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는 ... Preliminary Report 주 제: Lab#05 Combinational_Logic_Design_Ⅱ @ Decoder, Encoder and Mux 지도교수 : 신 창 환 교수님 ... 회로 코딩 simulation a=1 b=0 c=1 d=0 s=00 q=1 a=1 b=0 c=1 d=0 s=01 q=0 a=1 b=0 c=1 d=0 s=10 q=1 a=1 b=0
    리포트 | 13페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 한글파일 Solution Manual Computer Organization And Architecture 8th Edition 1장 예제 풀이(컴퓨터 구조)
    - Computer architecture refers to those attributes of a system visible to a programmer or, put another ... way, those attributes that have a direct impact on the logical execution of a program. ... processor. - Control unit: Controls the operation of the CPU and hence the computer. - Arithmetic and logic
    리포트 | 3페이지 | 1,000원 | 등록일 2014.11.12 | 수정일 2015.10.21
  • 워드파일 전자전기컴퓨터설계실험2(전전설2)8주차예비
    Xilinx 프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는 ... 디바이스 고려 없이 설계한 Design File의 기능만으로 검증하는 시뮬레이션으로 결과 파형에 delay time가 반영된 시뮬레이션으로 target 디바이스와 핀 설정, 내부 Logic ... ) begin if(~resetn) cnt_scan=0; else begin if(cnt_scan>=3) cnt_scan=0; else cnt_scan=cnt_scan+1; end
    리포트 | 26페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 워드파일 전자전기컴퓨터설계실험2(전전설2)2주차예비
    Xilinx 프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는 ... FPGA(Field programmable gate array) 이미 설계된 하드웨어를 반도체로 생산하기 직전 최종적으로 하드웨어의 동작 및 성능을 검증하기 위해 제작하는 중간 개발물 ... C(i)=0 S=1 C=0 A=0 B=0 C(i)=1 S=1 C=0 A=1 B=0 C(i)=1 S=0 C=1 A=0 B=1 C(i)=0 S=1 C=0 A=1 B=1 C(i)=0 S=
    리포트 | 12페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 한글파일 VLSI 설계의 여러가지 현상들
    1.PLD(Programmable Logic Device, 설계 가능 논리 소자) 1)정의 PLD(Programmable Logic Device)는 제조 후 사용자가 내부 논리 회로의 ... (Simple Programmable Logic Device, 단순 프로그램 가능 논리 소자)의 총칭으로 쓰이는 말이지만 CPLD(Complex Programmable Logic Device ... 일반적으로 PAL(Programmable Array Logic, 프로그램 가능 배열 논리 소자), GAL(Generic Array Logic, 일반 배열 논리소자)를 포함하는 SPLD
    리포트 | 9페이지 | 1,500원 | 등록일 2013.06.20
  • 한글파일 서강대학교 디지털회로설계 HW2 FPGA GateArray_SoG
    학 과 : 전자공학과 성 명 : 1.FPGA FPGA (Field Programmable Gate Array) 는 PLD(Programmable Logic Device) 와 프로그래머블 ... 기존의 CPLD(Complex Programmable Logic Device)보다 더 복잡한 기능을 수행할 수 있다는 것이 특징이다. ... CPLD는 기본구조를 PAL(Programmable Array Logic)에서 가져왔고, FPGA는 ASIC 의 Gate Array에서 가져왔다.
    리포트 | 10페이지 | 1,000원 | 등록일 2013.04.12
  • 한글파일 논리회로, 반도체 메모리 조사
    S-TTL S-TTL이란 Schottky transistor-transistor logic의 줄임말로 쉽게 말해서 고속도의 TTL을 의미한다. ... TTL TTL이란 트랜지스터-트랜지스터 논리(Transistor-Transistor Logic)의 줄임말이며 반도체를 이용한 논리 회로의 대표적인 것 중 하나이다. ... EEPROM EEPROM (Electrically Erasable Programmable Read-Only Memory, E2PROM)는 비휘발성 메모리(NVM)의 하나로 1983년
    리포트 | 6페이지 | 1,000원 | 등록일 2015.11.11
  • 한글파일 PLC제어
    기초전자전기 실험 보고서 PLC 제어 1.PCL정의 Programmable Logic Controller의 약자로 디지털 또는 아날로그 입출력 모듈을 통하여 로직, 시퀀싱, 타이밍 ... Logic Controller)의 구조를 이해하고 CNC로 형상을 가공한 후, 제품을 Robot을 이용하여 belt-conveyer로 이동시킨 후 사용 여부를 판단하여 운반하는 각각의 ... 프로그램 가능한 메모리를 사용하고 여러 종류의 기계나 프로세서를 제어하는 디지털 동작의 전자 장치 2.실험목적 CNC(Computer Numerical Control)와 PLC(Programmable
    리포트 | 8페이지 | 1,500원 | 등록일 2015.12.03
  • 한글파일 사물인터넷(Internet of things)에 대한 에세이
    사물인터넷을 위한 기기들은 사용자가 원하는대로 설계 구조를 변경할 수 있는 형태의 반도체, 즉 PLD(Programmable Logic Device) 형태가 많이 소비될 것으로 전망이 ... [참고문헌] http://www.elec4.co.kr/article/articleView.asp? ... idx=7809 // 가트너 http://news.heraldcorp.com/view.php?ud=*************8&md=*************9_BL // 가트너
    리포트 | 4페이지 | 1,000원 | 등록일 2016.06.09 | 수정일 2017.09.14
  • 워드파일 전자전기컴퓨터설계실험2(전전설2)2주차결과
    Xilinx 프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는 ... FPGA(Field programmable gate array) 이미 설계된 하드웨어를 반도체로 생산하기 직전 최종적으로 하드웨어의 동작 및 성능을 검증하기 위해 제작하는 중간 개발물 ... 0 B=0 C(i)=0 S=0 C=0 A=0 B=0 C(i)=1 S=1 C=0 A=0 B=0 C(i)=1 S=1 C=0 A=0 B=1 C(i)=0 S=1 C=0 A=0 B=1 C(i
    리포트 | 15페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 워드파일 MIS - KENNETH LAUDON CH6
    application programs : an interface between application programs and the physical data files : relives the programmer ... and physical views of data ∙ Logical view : presents data as they would be perceived by end users or ... end user from the task of understanding where and how the data are actually stored by separating the logical
    리포트 | 3페이지 | 1,000원 | 등록일 2014.12.25
  • 파워포인트파일 체계 이론(systems theory)
    to the communicative logic of their constitutive medium rather than the organizational dynamics of hierarchy ... Network proliferation may be a probable - but the adoption of governance mediums, programmes , scripts ... of those Close affinity with cybernectics (defined as the science of ‘control and communication, Co-ordination
    리포트 | 16페이지 | 2,500원 | 등록일 2016.07.19
  • 한글파일 FPGA(PROM, PAL, PLA, FPGA)
    PAL (Programmable Array Logic) 3-1. PAL의 정의 PAL은 논리평판과 출력논리 매크로셀로 구성된다. ... PLA (Programmable Logic Array) 2-1. PLA의 정의 ROM은 메모리와는 달리 논리입력과 그 결과라는 견지에서 보면 논리함수 발생기라고 볼 수 있다. ... 복합 프로그래머블 논리 소자 (CPLD)는 비슷한 역할을 할 수 있는 소자이다. 4-2 FPGA 구성 : 일반적인 기본 구조는 컨피규러블 논리 블록 (configurable logic
    리포트 | 2페이지 | 1,000원 | 등록일 2013.03.29 | 수정일 2015.09.30
  • 파일확장자 PLC를 이용한 배종 감지 시스템 제어 및 직파기 용량 산출에 관한 연구
    The Programmable Logic Controller (PLC) and position sensor are utilized to control the seed detection ... determine the capacity of seeder hopper to meet the needs of customer demand. ... These algorithms were composed of the process of seed monitoring and the compulsion opening system.
    논문 | 5페이지 | 4,000원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • 한글파일 SQL Server로 배우는 데이터베이스 개론과 실습 연습문제 1장
    응용 프로그래머(application Programmer)는 자바, C, JSP 등의 프로그래밍 언어와 SQL을 사용하여 일반 사용자를 위한 사용자 인터페이스와 데이터를 관리하는 응용 ... 즉 하나의 데이터베이스에는 하나의 개념 스키마(conceptual schema)가 있다. ... 논리적 데이터 독립성(logical data independence) : 외부 단계와 개념 단계 사이의 독립성으로, 개념 스키마가 변경되어도 외부 스키마에는 영향을 미치지 않도록 지원한다
    리포트 | 4페이지 | 1,500원 | 등록일 2016.10.15 | 수정일 2016.10.24
  • 워드파일 전전컴설계실험2-4주차예비
    Logic- - simulation- (2)Lab2 -Half_Adder -schematic Logic- - simulation- (3)Lab3 -1bit-Full_Adder -schematic ... Logic- - simulation- (4)Lab4 -4bit-Full_Adder -schematic Logic- 4.Reference http://terms.naver.com/entry.nhn ... -FPGA(field programmable gate array, 현장 프로그래머블 게이트 어레이)은 프로그래머블 논리 요소와 프로그래밍가능 내부선이 포함된 반도체 소자이다.
    리포트 | 10페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 워드파일 전자전기컴퓨터설계실험2(전전설2)8주차결과
    Xilinx 프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는 ... 0; b=0; end always@(posedge clk) begin up_sync =3) cnt_scan =0; else cnt_scan = cnt_scan +1; end endmodule ... if((b)&&(cnt_sound>=3399)) begin cnt_sound=0; buff=~buff; end else if((c)&&(cnt_sound>=3032)) begin
    리포트 | 24페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업