• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(292)
  • 리포트(277)
  • 논문(6)
  • 자기소개서(5)
  • 시험자료(2)
  • 방송통신대(1)
  • 이력서(1)

"Programmable logic c" 검색결과 41-60 / 292건

  • 한글파일 ring,jhonson counter 예비레포트
    관련 이론 (1) FPGA (field-programmable fate array) FPGA 는 설계가능 논리 소자와 프로그래밍이 가능한 내부 회로가 포함된 반도체 소자이다. ... Rafiquzzaman, 『Fundamentals of Digital Logic and Microcomputer Design (Fifth Edition)』, John Wiley & ... 실험 목적 -fpga를 통해 ring counter, jhonson counter 시뮬레이션 3.
    리포트 | 7페이지 | 1,000원 | 등록일 2022.08.21
  • 한글파일 (Jelly) A+ 기초전기전자실험 PLC 제어
    간단한 프로그램을 작성할 수 있는 능력을 배양한다. 2.PLC의 정의 PLC(Programmable Logic Controller)란, 종래에 사용하던 제어반 내의 릴레이, 타이머 ... Logic Controller)의 구조를 이해하고 CNC로 형상을 가공한 후, 제품을 Robot을 이용하여 belt-conveyer로 이동시킨 후 사용 여부를 판단하여 운반하는 각각의 ... 측정 실험 보고서 기계공학실험 기계공학부0 000 교수님 0000000000 000 PLC 제어 1.실험 목적 - CNC(Computer Numerical Control)와 PLC(Programmable
    리포트 | 10페이지 | 2,500원 | 등록일 2020.04.08 | 수정일 2020.05.27
  • 한글파일 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 9 보고서
    Share of BRAM for ARM and FPGA There are processing system (PS) and programmable logic (PL) in SoC. ... This logic can be illustrated like Figure. 1. ... We are going to understand the principle of the FPGA acceleration and construct the logic of the acceleration
    리포트 | 8페이지 | 3,000원 | 등록일 2020.08.18
  • 워드파일 서울시립대학교 전전설2 전자전기컴퓨터설계실험2 결과와 예비레포트 동시에 2주차 Lab02 Schematic Design with Logic Gates
    한마디로 사용자가 원하는 digital logicprogramming통해 구현할 수 있는 chip이다. ... CPLD의 장점은 빠른 성능이나 정확한 타이밍의 예측이 필요로 하는 곳에 적합한 구조를 갖고 있다. 4) FPGA (Field Programmable Gate Array) FPGA는 ... 전자전기컴퓨터공학부 설계 및 실험2 Post Lab-02 Schematic Design with Logic Gates 실 험 날 짜 학 번 이 름 목차 1.
    리포트 | 28페이지 | 3,000원 | 등록일 2020.07.27 | 수정일 2020.09.16
  • 워드파일 서강대학교 21년도 디지털논리회로실험 9주차 결과레포트 (A+자료) - ROM, RAM, Dot matrix, key matrix
    EPROM은 저장된 데이터를 자외선을 이용해 지우고, programmer를 이용해 다시 쓸 수 있는 ROM이다. 27C512는 16개의 address 신호를 갖고, 각각의 데이터는 ... . - ROM을 이용해서 임의의 기능을 수행하는 combinational logic을 구현하는 방법을 이해한다. - Dot matrix와 key matrix의 scanning에 의한 ... 일단 RAM16X4S의 datasheet를 확인해, 소자의 작동방식을 파악해보자 그림6-2 RAM16X4S의 logic table datasheet를 보니, WE는 write enable
    리포트 | 35페이지 | 3,000원 | 등록일 2022.09.18
  • 워드파일 방통대(방송통신대학교) 2020학년도 2학기 대학영어 A+ 중간과제물
    Common The common feature of difficulties the programmers encounter while coding is related to memory ... Improve To improve programming logic skills, it is mandatory to steadily brush up on Data structures ... They conjured up infernal spirits by the charms.
    방송통신대 | 4페이지 | 3,000원 | 등록일 2020.12.25
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(예비) / 2021년도(대면) / A+
    PROM - Programmable Read Only Memory의 약자로, 다시 수정 가능한 ROM을 총칭한다(EPROM, EEPROM 등). - 모델 온보드 (공중) 디지털 컴퓨터 ... logic을 최종적으로 FPGA Device Configuration까지 수행해서 동작을 확인한다. ... Pre-report Schematic Design with Logic Gates 날짜 : 학번 : 이름 : 1. Introduction 가.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.16
  • 파일확장자 게임 이벤트 고속 처리를 위한 멀티쓰레드 큐의 입력 분포에 관한 연구
    For increase the performance, programmer can use Lock-Free method. ... Multi-threading brings high performance, but this is not ease to apply the game logic. ... , they can be conflicted.
    논문 | 6페이지 | 4,000원 | 등록일 2023.04.05 | 수정일 2023.04.06
  • 한글파일 기계공학응용실험 A+, 9장 PLC 응용실험
    PLC (Programmable Logic Contorller)는 이러한 컴퓨터나 제어기 역할을 수행하는 장치로 컴퓨터제어에 대한 기본지식이 없는 현장, 공장의 작업자가 손쉽게 필요한 ... 논리식 단순화의 근거는 보수법칙(law of complements)과 특성화 정리이다. ... 포함(inclusion) 정리, 특성화(characteristic) 정리, 등멱(idmpotent) 정리, 부정(negative) 정리 ?
    리포트 | 5페이지 | 1,000원 | 등록일 2020.01.03
  • 한글파일 기초전자회로실험 - Moore & Mealy Machine 예비레포트
    Altera : Quartus II는 Altera에서 제작 한 programmable logic device design 소프트웨어이다. 4. ... /www.google.com/search? ... dbnum=15&page=1 [5]https://catslikefish.tistory.com/entry/Finite-State-MachineFSM
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • 한글파일 경북대 기초전기전자실험 A+ PLC제어
    실험 목적 CNC(Computer Numerical Control)와 PLC(Programmable Logic Controller)의 구조를 이해하고 CNC로 형상을 가공한 후, 제품을 ... PLC의 정의 PLC(Programmable Logic Controller)란, 종래에 사용하던 제어반 내의 릴레이, 타이머, 카운터 등을 LC등의 반도체 소자로 대체시켜 소형화하고 ... Robot을 이용하여 Belt-conveyer로 이동시킨 후 사용 여부를 판단하여 운반하는 각각의 간단한 프로그램을 작성할 수 있는 능력을 배양한다. 2.
    리포트 | 10페이지 | 3,000원 | 등록일 2019.09.15
  • 한글파일 명지대학교 산업경영공학과 스마트 생산과 자동화 11주차 강의내용
    사다리 논리도 & 반응도표 PLC (Programmable Logic Controller) 디지털 또는 아날로그 입출력 모듈을 갖고 있으며 기계 및 공정 제어에 사용되는 논리 및 산술 ... C44는 5번 카운트 한 뒤 켜지는 모터로 3번째 단에서 입력값으로 존재함. ? 5번째 pulse가 들어올 때 C44가 ON이 되며 이 때 동시에 b도 ON이 된다. ? ... 고속 → 정지 1번째 단 a저속(열림) b고속(닫힘) c정지(닫힘) X출력 모터(저속운행상태) a 스위치를 누르면 전파가 그대로 X로 닿게 되어 저속운행을 함. b스위치나 c스위치를
    리포트 | 7페이지 | 2,000원 | 등록일 2023.10.10
  • 한글파일 PLC 응용실험 보고서
    PLC(Programmable Logic Controler)는 이러한 컴퓨터나 제어기 역할을 수행하는 장치로 컴퓨터제어에 대한 기본지식이 없는 현장, 공장의 작업자가 손쉽게 필요한 ... 입력 릴레이 X1을 ON하면 카운터 C0가 1 올라간다. ... 실험목적 자동화된 기계시스템은 사용자(user)나 센서의 입력을 받아 정해진 로직(logic)이나 가동순서에 따라 모터, 솔레노이드, 스위치와 같은 출력장치를 제어하는 시스템이다.
    리포트 | 12페이지 | 1,000원 | 등록일 2019.06.16
  • 한글파일 1. 백홀 기술과 가상화 기술
    즉, Juniper의 logical router와 같이 blade를 서비스별로 나누어 이용한다거나, Cisco의 hardware isolated virtual router나 software ... 구별하여 동적으로 virtual network 구축 및 해제가 가능하도록 지원해주면서 programmable한 기능을 가지고 있다. ... 라우터 가상화는 물리적 라우터를 논리적으로 이용하기 위해 라우팅 프로토콜을 virtual network별로 구동할 수도 있고, 해당 라우팅 정보가 라우터에 설정되고, programmability와
    리포트 | 12페이지 | 2,000원 | 등록일 2019.06.23
  • 한글파일 기계공작법 용접2 (예비)
    시퀀스 제어는 사용기구와 발달과정에 따라 일반적으로 릴레이 시퀀스(relay sequence), 로직 시퀀스(logic sequence) 그리고 PLC(programmable logic ... 제어시스템 (1) 시퀀스 제어(sequence control) 공장자동화를 위한 제어시스템은 미리 정해진 기기의 동작순서나 방법 등에 따라 조작되는 시퀀스 제어에 의해 수행된다. ... TIG 용접 불활성 가스 아크용접은 그림 1과 같DC component)이라 하며, 이 크기는 교류 성분의 1/3에 달하는 때도 있고, 때에 따라서는 그림 4와 같이 반파가 완전히
    리포트 | 19페이지 | 1,500원 | 등록일 2021.11.13
  • 워드파일 진동및메카트로닉스 스텝모터및엔코더 실험 보고서
    우리가 실험에서 다룰 엔코더는 TTL logic 출력형태를 가지며, Optical 을 이용하여 측정을 하며, Rotary 운동을 측정한다. ... Compiler CodeVisionAVR에서 Tool -> Chip Programmer 실행 팝업 창에서 Program -> Erase Chip 이후 Program -> FLASH선택 ... TTL logic의 출력형태를 갖는다는 것은 축이 회전하면서 슬릿을 통하여 빛이 통과했다가 차단되면서 광센서에 펄스를 발생시킨다는 것을 의미한다.
    리포트 | 14페이지 | 3,000원 | 등록일 2020.06.27 | 수정일 2021.03.15
  • 한글파일 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 8 보고서
    ZYNQ7 processing system has a programmable logic (PL) part inside. In the PL part of ZYN=0;y ... can avoid the in request can be modified. ... It can be connected to the most of I/Os but if it is connected to a low-performance I/O, it also becomes
    리포트 | 20페이지 | 3,000원 | 등록일 2020.08.18
  • 한글파일 [A+보고서] 회로실험 메모리 회로 예비보고서
    . - EEP(electrically erasable programmable) ROM :기억된 내용을 지우고 다른 내용 을 기록할 수 있는 롬이다. ... 어드레스(address) - 16개의 메모리 위치를 결정하기 위하여 4개의 입력선이 사용 - 각 입력 어드레스는 4개의 2진 digit로 구성 - 54/7489 pin 구성 및 logic ... static memory이고, clock이나 timing strobe가 필요 없다. ⑤ 데이터 입력과 출력을 위해 공통 line을 사용하고, 3-static 출력이다. ?
    리포트 | 9페이지 | 1,500원 | 등록일 2022.12.24
  • 한글파일 기초전자회로실험 - FPGA Implementation of Shift Register (쉬프트레지스터) 예비레포트
    Altera : Quartus II는 Altera에서 제작 한 programmable logic device design 소프트웨어이다. 4. ... {C}에 적재한다. ... (이벤트가 발생하지 않을 경우 값을 유지) 앞의 예제에서 클럭의 상승에지에서 순차회로가 동작하게 되는데 다음 상태의 count 값은 현재 상태의 count 값에 1을 더한 값이 저장된다
    리포트 | 12페이지 | 2,000원 | 등록일 2021.02.27
  • 한글파일 국제 경영학 ) 한국 이외의 지역 본사 또는 핵심 거점을 가지는 글로벌 기업 하나 선택하여, 해당 기업의 경쟁력에 대해 데이터를 바탕으로 설명 할인자료
    Taiwan Semiconductor Manufacturing Company, Limited의 줄임말로서 다양한 생산설비를 제공하고, 이에 대하여 programmable logic ... 오진영, 삼성은 우리 못 넘는다, 국민기업 TSMC 향한 대만의 자부심, 머니투데이, 2022.11.26., (https://news.mt.co.kr/mtview.php? ... [출처 및 참고문헌] 1) 강해령, TSMC 영업 이익 13조, 서울경제, 2023.01., (https://www.sedaily.com/NewsView/29KGI2U4Z3) 2)
    리포트 | 7페이지 | 5,000원 (5%↓) 4750원 | 등록일 2023.08.07
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업