• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(292)
  • 리포트(277)
  • 논문(6)
  • 자기소개서(5)
  • 시험자료(2)
  • 방송통신대(1)
  • 이력서(1)

"Programmable logic c" 검색결과 81-100 / 292건

  • 한글파일 Lab#04 Combinational Logic Design 1
    보고 FPGA(Field Programmable Gate Array)를 통하여 실제로 구현해 보는 실혐이었다. ... Materials -FPGA(Filed Programmable Gate Array)-XC3S200 -Xilinx ISE. ... Comparator Logic design Verilog code Ucf code Comparator는 always구문을 사용하여 A와B를 비교하여 서로 같을 때, A가 클 때, A가
    리포트 | 24페이지 | 1,500원 | 등록일 2016.09.11
  • 한글파일 실험9 PLC(글꼴 나눔글꼴)
    PLC(Programmable Logic Controller)는 이러한 컴퓨터나 제어기역할을 수행하는 장치로 컴퓨터제어에 대한 기본지식이 없는 현장, 공장의 작업자가 손쉽게 필요한 ... 이후 X1에 신호가 상승하면 C0에 카운트가 저해 모터는 정밀한 제어가 가능하다. ... 이와 동시에 Y71 LDI 접점이 열리며 종료하여 C0의 카운트 값을 초기화 하지 못하게 한다.
    리포트 | 20페이지 | 1,000원 | 등록일 2018.12.20
  • 한글파일 [기전실] PLC제어 A+
    실험 목적 -PLC(Programmable Logic Controller)의 구조를 이해하고 제품을 Robot을 이용하여 belt-conveyer로 이동시킨 후 사용요소가 도입되어 ... PLC의 정의 PLC(Programmable Logic Controller)란, 각종 기기나 장비 등을 제어하기 위해서 종래에 사용하던 제어반 내의 릴레이 타이머, 카운터 등의 기능을 ... Relay Logic의 형태와 유사하기 때문에 프로그래밍하기에는 IL언어보다 친밀성을 가지고 있지만 Logic형태의 구문만으로는 일반 프로그래밍 언어가 가지고 있는 수식구문이나 제어구문을
    리포트 | 7페이지 | 1,000원 | 등록일 2017.07.18
  • 한글파일 01-논리회로설계실험-예비보고서
    . - CPLD(Complex Programmable Logic Device) : CPLD는 PAL 개념의 확장이다. ... A4_KYK_KYS_1 is port ( A : in STD_LOGIC; B : in STD_LOGIC; C : out STD_LOGIC); end A4_KYK_KYS_1; architecture ... 그 외의 CPLD들은 PLA 기반이며, 기능 블록 안의 AND 게이트의 출력은 어느 것이든 그 블록 안의 OR 게이트 입력과 연결될 수 있다. - FPGA(Field Programmable
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 워드파일 [기출 2001년~2017년]정보처리기사 필기 정리
    Logic Device): 여러 개의 LAB(Logic Array Block)과 연결선인 PLA(Programmable Interconnection Array)로 구성되며, 빠른 ... ->답: 19개 (풀이) 1024 word = 2^10 => 10bit필요 8bit 10+8+1(chip select bit) = 19 CPLD(Complex Programmable ... docId=3436142&cid=42346&categoryId=42346" http://terms.naver.com/entry.nhn?
    시험자료 | 54페이지 | 5,000원 | 등록일 2018.05.18
  • 한글파일 종합설계 최종 보고서
    PLA(Programmable Logic Array) 다. PAL(Programmable Array Logic) 라. ... SPLD(Simple Programmable Logic Device) 마. CPLD(Complex Programmable Logic Device) 바. ... PLA(Programmable Logic Array) ?
    리포트 | 26페이지 | 3,500원 | 등록일 2009.07.20
  • 한글파일 [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 예비보고서
    Adder의 설계 방법을 익힌다. ③ Seven-segment display를 Verilog HDL을 이용하여 설계하고, FPGA를 통하여 검증하는 방법을 익힌다 회로부품 Field Programmable ... 생성한 Bitstream파일 중 Seven-segment display.bit를 열고 Programming 한다 ⑫ Nexys4 FPGA 보드에서 Switch와 LED를 통하여 Logic를 ... 실험목적 ① BCD code, Seven-segment display에 대한 이론 및 회로 ② Seven-segment display의 심볼 및 동작을 이해하고, 이를 응용하여 4-
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • 한글파일 PLC 의 배경, 정의 및 구성, 밸브, 공압
    . ▷ PLC의 정의 PLC(Programmable Logic Controller)란 종래에 사용하던 제어반 내의 릴레이 타이머, 카운터 등의 릴레이제어반 기능을LSI, 트랜스터 등의 ... 주로 Class75 (5kgf/cm2 )에 사용된다. ... LD언어는 Relay Logic의 형태와 유사하기 때문에 프로그래밍하기에는 IL언어보다 친밀성을 가지고 있지만 Logic형태의 구문만으로는 일반 프로그래밍 언어가 가지고 있는 수식구문이나
    리포트 | 5페이지 | 1,000원 | 등록일 2015.11.25
  • 한글파일 Lab#02 [HBE-ComboⅡ-SE] board [Xilinx Spartan3] FPGA chip [ISE] digital design tool
    Assignment(1) Floorpalan 실행 Process창의 User constraints > Floorplan Area/IO/Logic을 실행한다. (2)UCF파일생성 핀의 ... Conclusion 이번 실험은 논리회로를 FPGA(Field Programmable Gate Array)를 통하여 회로로 구현하는 실험이었다. ... Timing SimulationBehavioral Simulation에 하드웨어적인 요소가 반영된 시뮬레이션으로, Target디바이스와 핀설정, 내부 Logic Cell 배치에 따라
    리포트 | 18페이지 | 1,500원 | 등록일 2016.09.11
  • 한글파일 01 논리회로설계실험 예비보고서(And,or gate)
    - CPLD(complex programmable logic device) PLD(programmable logic device, 제조 후 사용자가 내부 논리 회로의 구조를 변경할 ... _1164.ALL; entity B1_PTJ_JSH_1 is port ( X : in STD_LOGIC; Y : in STD_LOGIC; F : out STD_LOGIC); end ... 수 있는 집적 회로)와 같은 기능을 갖는 논리 블록들과 그것을 서로 연결하여주는 스위치, 행렬 등이 칩 내부에 내장된 소자 - FPGA(field programmable gate array
    리포트 | 8페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 한글파일 [기초전기전자실험] 실험 20 plc제어 실험 보고서 [A+받은 자료]
    실험목적 - CNC(Computer Numerical Control)와 PLC(Programmable Logic Controller)의 구조를 이해하고 CNC로 형상을 가공한 후, ... 각종 기계동작을 위한 보조적인 제어 기능을 담당. ■ PLC 의 정의 PLC(Programmable Logic Controller)는 “Process 혹은 Equipment 의 제어를 ... 95%98%EA%B8%B0_.pdf http://cluster1.cafe.daum.net/_c21_/bbs_search_read?
    리포트 | 12페이지 | 2,500원 | 등록일 2016.01.03 | 수정일 2016.04.08
  • 파워포인트파일 4차 산업 혁명
    • 2010년 IoT컨퍼런스 개최하며 IoT Cent자동화 진화 • 사이버물리시스템 기반 (Cyber-Physical System) 유연하고 효율적인 생산체계 오늘날 * PLC Programmable ... Logic Controller 자료: DFKI (독일인공지능연구소), 2011 8 / 4 4 가상세계의 서비스와 물리적 세계를 연결하는 매개체 What is Industry 4.0 ... 자율제어기 인더스트리 3.0 중앙제어기 서비스 내용 중앙제어기 기계  소재 소재  기계 가장 큰 변화는 서비스 로직의 역전 (Reversed Service Logic) RF I
    리포트 | 46페이지 | 3,000원 | 등록일 2018.02.18
  • 워드파일 전자전기컴퓨터설계실험2(전전설2)4주차예비
    Xilinx 프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는 ... Preliminary Report 주 제: Lab#04 Combinational_Logic_Design_Ⅰ @ Arithmetic_Logic and Comparator 지도교수 : ... Combinational Logic 정해진 input이 들어오면 정해진 output이 나오는 회로는 Combinational Logic 즉, 조합회로라고 하며 자체 state가 있어
    리포트 | 16페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 한글파일 조합논리 해석 및 설계 논리 게이트
    LSI(Large-Scale Integration)는 수 백에서 수 천 게이트를 가진 대규모 집적회로로서 메모리, 프로그램 가능소자(Programmable Logic Device, ... 게이트의 출력을 얻는 방법 중에서 TTL(Transistor Transistor Logic)형 논리소자의 경우 3 가지가 있다. ... F = (H + C)' = ((A + B)' + C)' = (A + B)?
    리포트 | 24페이지 | 4,000원 | 등록일 2017.12.31
  • 한글파일 기계공학응용실험 - PLC의 활용 결과보고서
    PLC(Programmable Logic Controller)는 이러한 컴퓨터나 제어기 역할을 수행하는 장치로 컴퓨? ... 실험이론 (1) 래더 로직 다이어그램(Ladder logic diagram) 래더 다이어그램은 프로그램 가능 제어기의 언어이다. ... 그림처럼, 스테이터에는 a상, b상, c상의 3조 코일과 각각의 스위치 Sa, Sb, Sc가 직류 전원에 접속되어 있다고 하자.
    리포트 | 14페이지 | 1,000원 | 등록일 2018.04.11
  • 워드파일 전자전기컴퓨터설계실험2(전전설2)4주차결과
    Xilinx 프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는 ... Post Report 주 제: Lab#04 Combinational_Logic_Design_Ⅰ @ Arithmetic_Logic and Comparator 지도교수 : 신 창 환 교수님 ... Combinational Logic 정해진 input이 들어오면 정해진 output이 나오는 회로는 Combinational Logic 즉, 조합회로라고 하며 자체 state가 있어
    리포트 | 19페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 한글파일 [A+]기계공학실험2 큐블록실험(CUBLOC)
    Compile 과정 2) PLC PLC(Programmable Logic Controller)는 “Process 혹은 Equipment 의 제어를 위한 논리연산, Sequence 제어 ... LADDER LOGIC은 공장자동화용 컨트롤러인 PLC에서, 프로그래밍 언어는 (BASIC, C, 어셈블러 등) PC나 MCU에서 사용되고 있다. ... 고찰7 1) LADDER LOGIC과 BASIC언어의 장단점7 가) LADDER LOGIC 7 나) BASIC 언어8 2) 큐블럭의 예9 4.
    리포트 | 15페이지 | 1,500원 | 등록일 2016.08.25 | 수정일 2021.04.10
  • 워드파일 전자전기컴퓨터설계실험2(전전설2)7주차결과
    Xilinx 프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는 ... Post Report 주 제: Lab#07 Sequential_Logic_Design_Ⅱ @ Flip-Flop, Register and SIPO 지도교수 : 신 창 환 교수님 실험조교 ... 검증하는 시뮬레이션으로 결과 파형에 delay time의 요소가 없다. timing simulation 하드웨어적인 요소가 반영된 시뮬레이션으로 target 디바이스와 핀 설정, 내부 Logic
    리포트 | 18페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 워드파일 전자전기컴퓨터설계실험2(전전설2)6주차결과
    Xilinx 프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는 ... Post Report 주 제: Lab#06 Sequential_Logic_Design_Ⅰ @ Flip-Flop, Register and SIPO 지도교수 : 신 창 환 교수님 실험조교 ... Functional simulation 디바이스 고려 없이 설계한 Design File의 기능만으로ulation 하드웨어적인 요소가 반영된 시뮬레이션으로 target 디바이스와 핀 설정, 내부 Logic
    리포트 | 13페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 워드파일 전자전기컴퓨터설계실험2(전전설2)6주차예비
    Xilinx 프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는 ... Preliminary Report 주 제: Lab#06 Sequential_Logic_Design_Ⅰ @ Flip-Flop, Register and SIPO 지도교수 : 신 창 환 ... simulati검증하는 시뮬레이션으로 결과 파형에 delay time의 요소가 없다. timing simulation 하드웨어적인 요소가 반영된 시뮬레이션으로 target 디바이스와 핀 설정, 내부 Logic
    리포트 | 12페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업