• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(292)
  • 리포트(277)
  • 논문(6)
  • 자기소개서(5)
  • 시험자료(2)
  • 방송통신대(1)
  • 이력서(1)

"Programmable logic c" 검색결과 121-140 / 292건

  • 워드파일 전자전기컴퓨터설계실험2(전전설2)3주차예비
    Xilinx 프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는 ... b=0 cin=0 sum=0 cout=0 a=1 b=0 cin=0 sum=0 cout=0 a=0 b=0 cin=1 sum=1 cout=0 a=1 b=0 cin=1 sum=0 cout ... cout=0 a=1 b=0 cin=1 sum=0 cout=1 a=0 b=1 cin=0 sum=1 cout=0 a=1 b=1 cin=0 sum=0 cout=1 a=0 b=1 cin=
    리포트 | 18페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 워드파일 전자전기컴퓨터설계실험2(전전설2)3주차결과
    Xilinx 프로그램을 만든 자일링스라는 회사는 PGA(Programmable Gate ArrayPLD(Programmable Logic Array)를 생산하는 반도체회사이다. xlinx라는 ... b=1 cin=1 sum=0 cout=1 a=1 b=0 cin=0 sum=0 cout=0 a=1 b=0 cin=0 sum=0 cout=0 a=1 b=0 cin=1 sum=0 cout ... b=0 cin=0 sum=0 cout=0 a=0 b=0 cin=1 sum=1 cout=0 a=0 b=0 cin=1 sum=1 cout=0 a=0 b=1 cin=0 sum=1 cout
    리포트 | 19페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 한글파일 2017 경북대학교 전기전자실험 PLC제어 A+보고서
    PLC의 정의 PLC(Programmable Logic Controller)는 산업 플랜트의 자동제어 및 감시에 사용하는 제어 장치이다. ... Sequential control에 사용되는 대표적 장치이다.
    리포트 | 10페이지 | 1,500원 | 등록일 2017.12.30
  • 한글파일 GPIO 입출력제어 예비보고서
    Logic Device: 복합성과 구조적 특성을 지니는 논리 블록을 이용한 복합 프로그램 가능 논리 소자) Module 장착. ② 56mm*45mm Module Zone : LED ... 실험(4)에서 우리가 다루게 될 것은 ATmega128이다. (2) HBE-MCU-Multi 장비의 모듈 구성 ① MCU Module Zone : MCU 및 CPLD(Complex Programmable ... Port C(PC7..PC0) Port C 는 내부 풀업 저항이 있는 8비트 양방향 입출력(I/O) 포트이다. 입출력 기능 외에 별도의 기능수행이 가능하다.
    리포트 | 25페이지 | 1,000원 | 등록일 2017.04.02
  • 한글파일 부산대학교 기계공학부 기계공학응용실험 레포트 ( PLC의 활용)
    PLC의 활용 수강과목 : 기계공학응용실험 학 과 : 기계공학부 학 번 : 이 름 : 제출일자 : 2011년 9월 30일 PLC(Programmable Logic Controller ... OTU Examine a bit for an ON condition XIC Examine a bit for an OFF condition. ... 기존의 PLC의 기능을 대신하는 피에스씨(PSC : Programmable Sequence Controller)를 인버터 기능을 처리하는 부분에 별도의 프로세서로 장착하고, 두 장치를
    리포트 | 8페이지 | 2,000원 | 등록일 2013.04.30
  • 한글파일 plc언어와 시퀀스 제어
    PLC [Programmable Logic Controller] 언어 1. ... PLC의 정확한 명칭은 PC(Programmable Controller)이나 PC가 개인용 컴퓨터와 혼돈되어 우리나라에서는 일반적으로 PLC라 부른다. ... 주소는 세트 명령과 같은 주소를 사용한다. Ⅱ 시퀀스 제어( 순차 제어, sequential control ) 1.
    리포트 | 11페이지 | 2,000원 | 등록일 2008.04.28
  • 한글파일 한국전력공사 송배전 전공면접 / 최종면접 정리자료
    Logic Controller : 프로그램을 통해 어떠한 입력을 순차적·논리적으로 처리하고, 처리된 출력 결과를 활용해 외부장치를 동작. ... 이것이 나아가 소비자의 만족으로 이어지고 결국엔 LCC(Life Cycle cost) 측면에서 기업이윤으로 나타나게 되어 경제성 있는 전력송배전이 될 것이라고 판단됩니다. 2. ... 항상 1, 무효 전력이나 표피효과가 없다) 3) 안정도가 높다(리액턴스나 위상각을 고려할 필요가 없다) 4) 비동기연계가 가능하므로 주파수가 다른 계통간의 연계가 가능하다. - ) Programmable
    자기소개서 | 48페이지 | 50,000원 | 등록일 2018.12.12
  • 한글파일 FPGA의 구조와 동작에 대한 리포트
    FPGA(Field Programmable Gate Array)는 사용자가 원하는 digital logicprogramming(혹은 configuration)통해 구현할 수 있는 ... programmable switch들을 포함하는데, 이들을 통해 logic block들을 여러 방식으로 서로서로 연결할 수 있다. programmable switch는 2가지 위치에 ... 존재할 수 있다. logic block에 인접해있는 programmable switch는 logic block의 입력, 출력 터미널을 interconnection wire와 연결한다
    리포트 | 8페이지 | 1,500원 | 등록일 2011.07.02
  • 한글파일 PLC
    LD언어는 Relay Logic의 형태와 유사하기 때문에 프로그래밍하기에는 IL언어보다 친밀성을 가지고 있지만 Logic형태의 구문만으로는 일반 프로그래밍 언어가 가지고 있는 수식구문이나 ... 사실 실험 전 PLC 또한 C언어를 바탕 ... IEC 1131-3(International Electrotechnical Commission- Standard for Programmable Controllers-Part 3: Programming
    리포트 | 9페이지 | 1,000원 | 등록일 2015.12.10
  • 한글파일 EMS와 SCADA의 차이점
    Terminal Units (RTUs) and/or Programmable Logic Controllers (PLCs), and the central host and the operator ... : Wikipedia , Community Energy Saving Programme online. ... , such as determining if the leak is critical, and displaying the information in a logical and organized
    리포트 | 4페이지 | 1,000원 | 등록일 2013.04.25 | 수정일 2014.10.21
  • 한글파일 시스템반도체
    ) IC : 마이크로 컴포넌트 IC나 메모리를 제외한 디지털 논리회 로를 의미하며 주요 제품으로 디스플레이 드라이버, PLD(Programmable Logic Device), 로직 ... 전력관리, 신호 감지/변환 등의 용도로 활용되며 주요 제품으로 증폭기, 전압 조정기, 데이터 컨버터, 인터페이스, 아날로그 ASIC/ASSP가 있음 - 마이크로 컴포넌트(Micro component ... 부품으로는 MPU(Micro Processor Unit), MCU(Micro Controller Unit), DSP(Digital Signal Processor) 등이 대표적임 - 로직(Logic
    리포트 | 4페이지 | 1,500원 | 등록일 2015.04.06
  • 한글파일 [생산시스템]생산시스템 자동화 의미, 생산시스템 자동화 형태, 생산시스템 자동화 차원별성향, 생산시스템 자동화 주요요소, 생산시스템 자동화 목적, 생산시스템 자동화 대량생산,전략
    PLC(Programmable Logic Controllers) 3. Computer Vision 4. 군분류 기술(GT; Group Technology) 5. ... PLC(Programmable Logic Controllers) - Boundary Representation(B-Rep) - Constructive Solid Geometry(CSG ... 산업용 로봇(Industrial Robot) - General-purpose computer-controlled manipulater - 단순반복 작업, 더럽고 위험한 환경에서의 작업
    리포트 | 12페이지 | 5,000원 | 등록일 2013.07.16
  • 한글파일 PLC 개론
    ◎PLCProgrammable Logic Controller의 약어로써 종래에 사용하던 제어반에 사용하는 릴레이, 타이머, 카운터 등의 기능을 IC, 트랜지스터 등의 반도체 소자로 ... 접점의 심벌(a점점 : arbeit contact, b점점 : brake contact) 의 접속상태를 2개의 세로선(제어전원선)안에 기입하여 마치 사디라와 비슷하기 때문에 붙여진 ... ST(structured Text) : 리얼타임 어플리케이션용으로 개발되었으며 파스칼이나 C를 기원으로 한 고급언어이다. ?
    리포트 | 7페이지 | 1,000원 | 등록일 2016.05.20
  • 파워포인트파일 로봇,로보트 공학 발표 pt입니다.
    logic controller 수정간편 모니터를 통해 체크 시퀀스,정지점 동작, 속도 제어 *4세대 마이크로컴퓨터 기계류, 전체 시스템 제어 C ++, 로봇, basic 언어이용 ... 사용 재 프로그램 서보 제어 시퀀스 제어: 신호등-순차적, 노란,빨강, 파랑 서보제어:경찰관 (feedback)-위치,각도,회전등 ( 예: 서보모터) 로봇의 세대 *3세대 PLC programmable
    리포트 | 29페이지 | 3,000원 | 등록일 2014.03.22
  • 한글파일 논리회로설계실험 프로젝트 라인트레이서
    프로그래밍 할 수 있도록 구성된 PLD(Programmable Logic Device) (4) 적외선 센서 적외선은 파장이 매우 짧은 전자기파(750 ~ 3000nm의 파장)을 말한다 ... 플립플롭 결과들은 순차적으로 트리거되기 때문에, 플립플롭의 상태변화가 서로 다른 시간에 발생한다. - 동기식 카운터(synchronous counter) 하나의 공통 클록이 카운터의 ... . ■ PROM(Programmable Read-Only Memory) 입력 신호들이 인가되는 AND 배열은 연결 상태가 고정되어 있으며, 출력을 발생하는 OR배열은 퓨즈를 이용하여
    리포트 | 13페이지 | 2,000원 | 등록일 2015.04.17
  • 한글파일 [기초전기전자실험] PLC제어
    실험20 PLC 제어 실험목적 CNC(Computer Numerical Control)와 PLC(Programmable Logic Controller)의 구조를 이해하고 CNC로 형상을 ... PLC의 정의 PLC(Programmable Logic Controller)란, 종래에 사용하던 제어반 내의 릴레이, 타이머, 카운터 등을 LC등의 반도체 소자로 대체시켜 소형화하고 ... 가공한 후, 제품을 Robot을 이용하여 belt-conveyer로 이동시킨 후 사용 여부를 판단하여 운반하는 각각의 간단한 프로그램을 작성할 수 있는 능력을 배양한다.
    리포트 | 6페이지 | 2,000원 | 등록일 2013.03.24
  • 파일확장자 非華語學生通過折紙活動趣學漢語
    The origami steps follow the basic form, with basic folds and symbols, according to a certain logical ... In teaching a Putonghua lesson of the Chinese as a Second Language programme in Australia, the researcher ... but in marking students’ work, it was found that many students can write han characters correctly and
    논문 | 21페이지 | 5,700원 | 등록일 2016.04.02 | 수정일 2023.04.05
  • 한글파일 led전광판 자료조사
    FPGA는 PLA(Programmable Array Logic)을 저밀도 (Low Density) PLD(Programmable Logic Devices)로 분류함에 비하여 고밀도 ... FPGA(Field Programmable Gate Array)는 PLD(Programmable Logic Device)의 한 종류입니다 모두 회로(디지털)를 프로그램할 수 있다는 ... /programmer.htm">프로그래머 들이 비교적 쉽게 이해할 수 있다고 주장한다.
    리포트 | 3페이지 | 2,500원 | 등록일 2011.11.20
  • 한글파일 신자유주의의 본질(영어) The essence of neoliberalism
    the things of logic with the logic of things. ... into question any and all collective structures that could serve as an obstacle to the logic of the ... The neoliberal programme draws its social power from the political and economic power of those whose
    리포트 | 5페이지 | 1,000원 | 등록일 2012.12.31
  • 한글파일 기초전기전자실험 보고서(PLC 제어 실험)
    Logic Controller)의 구조를 이해하고 CNC로 형상을 가공한 후, 제품을 Robot을 이용하여 Belt-conveyer로 이동시킨 후 사용 여부를 판단하여 운반하는 각각의 ... PLC의 정의 및 구조 (http://blog.naver.com/houself? ... 전기전자 실험 보고서 실험 20 PLC 제어 학 과 : 기계공학부 제출일 : 2012년 10월 15일 □ 실험 목적 CNC(Computer Numerical Control)와 PLC(Programmable
    리포트 | 9페이지 | 2,000원 | 등록일 2014.04.28
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업