• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(526)
  • 리포트(496)
  • 시험자료(18)
  • 방송통신대(6)
  • 자기소개서(4)
  • 논문(1)
  • ppt테마(1)

"2x1 Mux" 검색결과 1-20 / 526건

  • 한글파일 Verilog-2x1 Mux설계-정진균
    이 름 :김성현 Verilog - 6bit Adder 설계 2x1 Multiplexer 2x1 Multiplexer >> 2x1 Mux_Source 2x1 Multiplexer > ... > Test Bench Source 2x1 Multiplexer >> compile 2x1 Multiplexer >> Simulation ... Report < Verilog - 2x1 Multiplexer > 과 목 : 디지털시스템설계 교 수 : 정진균 교수님 일 자 : 2011년 9월 28일 학 번 : 200711061
    리포트 | 3페이지 | 1,500원 | 등록일 2012.03.28
  • 한글파일 [디지털 설계 언어] [쿼터스 / Verilog 설계] 2x4 Decoder / 4x1 MUX Behavioral Modeling / D flip-flop
    4x1 MUX Behavioral Modeling 코드 시뮬레이션 결과 4x1 MUX(Multiplexer 또는 데이터 선택기)는 select 입력에 따라 in_0~in_3 중에 ... 1. 2x4 Decoder ① Dataflow Modeling 코드 컴파일 화면 컴파일 후 Warning문장을 포함한 Message 화면 총 4가지의 Warning문장이 나오는데 첫 ... 입력 출력 select[1] select[0] m_out 0 0 in_0 0 1 in_1 1 0 in_2 1 1 in_3 그리고 표와 시뮬레이션 결과를 비교해보면 select에 출력이
    리포트 | 6페이지 | 1,000원 | 등록일 2014.08.11 | 수정일 2016.06.13
  • 워드파일 시립대 전전설2 Velilog 결과리포트 5주차
    이번 설계에서는 3X8 디코더와 2:1MUX에 대해 알아보도록 한다. 2. ... 0 0 진리표 논리회로 논리식 2) 2:1 MUX MUX는 Multiplexer의 약자이다. ... 그 외에 casex는 case 수식의 모든 x와 z값을 dc(don't care)로 다룬다.38Decoder는 4:1 Mux는 입력이 4개이고 출력이 하나인 회로가 되어야 하므로 Select의
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • 한글파일 Mux&Decoder2차레포트 디지털회로설계
    , VHDL (2) 2비트 2x1 Mux Schematic , VHDL (3) 1비트 1x2 Demux Schematic , VHDL (4) 2x4 Decoder Schematic ... Schematic , VHDL (2) 2비트 2x1 Mux Schematic , VHDL (3) 1비트 1x2 Demux Schematic , VHDL (4) 2x4 Decoder ... 제 1장 서론 1-1 1차 레포트의 필요성 및 목적 1-2 오늘 실습내용의 이론 설명 제 2장 각각의 schematic디자인, VHDL디자인 (1) 1비트 2x1 Mux Schematic
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • 워드파일 u Processor 설계
    이번 설계 실습에선 4x1 MUX, 2x1 MUX를 사용하는데 4x1 MUX는 Register 1~4로부터 ALU 로 데이터를 전달하기 위하여 사용하고 2x1 MUX는 Program ... 코드 및 설명 2x1 MUX 4x1 MUX 출력 결과 2x1 MUX 4x1 MUX u_Processor 결과 고찰 이번 설계 실습을 통해 간단한 u_Processor 를 만들어 봄으로써 ... 이번 설계 실습에서는 register 데이터 저장을 제어하기위한 2x4 Decoder와 명령어 로부터 어떤 연산을 할지 정해주는 출력과 MUX 4x1의 입력을 정해주는 출력, 2x1
    리포트 | 18페이지 | 2,000원 | 등록일 2020.10.05
  • 워드파일 [부산대학교][전기공학과][어드벤처디자인] 8장 Multiplexer, Decoder 및 Encoder (8주차 결과보고서) A+
    구현된 4:1 MUX를 사용하여 다음의 논리함수를 실현하고 그 동작을 실험으로 확인하라. 74x151 은 8:1 MUX이다. ... 실현된 2:4 디코더를 사용하여 아래의 함수를 실현하고 그 동작 상태를 점검하라. 74x138은 출력이 active low 상태인 3:8 디코더이다. 그 동작을 점검하라. ... 실험2 먼저 회로를 구성하기 위해 설계한 논리회로 설계는 다음과 같다. 여기서 8:1 MUX와 NOT게이트가 필요하다. 이는 각각 74LS151과 74LS04를 이용한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.04.25
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 5주차 Lab05 결과 레포트 Combinational Logic 2, 전자전기컴퓨터설계실험2,
    2)case문 combo box 작동결과 0001 – 00 0010-01 0100-10 1000-11 (3) 3x8 Decoder -if/ else if 1) if/ else if문 ... 이제 S의 값과 D0, D1의 값에 따라 두 입력 중 하나의 입력이 출력되는 것이다. 1bit mux 2bit mux 2bit mux의 논리도를 구현하면 다음과 같다. 2bit mux는 ... 하지만 이렇게 수정해도 오류가 떴고 결과적으로는 아예 배제해야 함을 알 수 있었다. 2) 2bit mux 2bit mux의 진리표와 논리회로에 대해서 생각해보고자 한다. 2bit mux
    리포트 | 22페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 5주차 Lab05 예비 레포트 Combinational Logic 2, 전자전기컴퓨터설계실험2,
    Y=D2+D3 X=D2+D3 D1 D3 0 1 0 0 1 1 1 0 D1 D3 0 1 0 0 1 1 1 0 Decoder 디코더는 해독기로 2진을 10진으로 바꾸는 역할을 한다. ... 2)case문 Gate primitive이용한4:2 Encoder test bench simulation pin (3) 3x8 Decoder -if/ else if 1) if/ else ... 다음은 2:1 mux의 진리표와 논리회로이다.
    리포트 | 19페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 한글파일 4 bit adder / 4:1 multiplexor / 2bit to 4bit decoder 설계과제 (verilog)
    이러한 MUX의 특성이 좀 더 잘 드러나게 시뮬레이션하기 위해 selection 신호 값을 결정할 때마다 다른 set data, 즉 입력된 신호의 값을 다르게 주었다. 3. 2x4 ... 이 결합의 과정에서 벡터 변수를 사용하여 가산기의 입출력 수치를 관리할 수 있도록 설계하였다. 2. 4:1 multiplexor multiplexor, 즉 MUX란 selection ... 본 코드에서 설계된 디코더는 2개의 입력으로 2bit의 binary 수를 입력받아서, 2의 2승, 즉 4개의 출력회선의 번호가 binary값에 해당하는 번호에만 1을 출력하는 디코더이다
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.15
  • 워드파일 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    보드를 이용한 결과 및 문제점의 해결 방안 결과 고찰 MUX 2x1 component 멀티플렉서의 정의 MUX 란 Multiplexer를 뜻한다. ... 시계를 구성하기 위해서는 1초 생성기, 60초,분 카운터, 12진 시간카운터, 2x1 MUX, 그리고 출력을 위한 FND가 필요하다. ... HDL및 실습 -component 문을 이용한 시계 설계- 목차——————————————— MUX 2x1 component---------------------------------
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • 한글파일 디지털 회로 실험-MUX와 DMUX
    MUX와 DMUX 1. 목적 -MUX와 DMUX의 동작원리를 이해한다. -MUX와 DMUX의 특성을 확인한다. 2. ... 실험2는 앞에서 구성한, 4x1 MUX회로의 입력I0~I3, S를 회로도와 같이 연결하고 선택입력A, B에 모두 0을 넣었을 때 출력F는 1이 출력되고, 선택입력A는 0, B는 1을 ... 입력에 따른 출력을 예측해보고 실제로 시뮬레이션 해보며 결과를 비교해보는 실험이다. 2) 결과와 이론 비교 : 실험1은 4x1 MUX회로로 선택입력S, A, B에 모두 신호 0을
    리포트 | 11페이지 | 2,000원 | 등록일 2022.09.10
  • 한글파일 충북대 디지털시스템설계 결과보고서4
    0 s _{1} ```001sum = sum + i X 0 0 1 0 0 s _{2} ```010i = i - 1 0 X 1 0 1 0 s _{4} ```100Output sum ... X X 0 0 X 1 datapath control unit의 출력들을 제어 입력으로 한다. i_mux를 통해 제어 입력 iMux가 0이면 출력 dp_iMux가 dp_add를, 1이면 ... = 0) s _{0} ```000s _{1} ```001s _{1} ```001 s _{1} ```001s _{2} ```010s _{2} ```010 s _{2} ```010s _
    리포트 | 4페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 한글파일 부산대 어드벤처디자인 결과보고서 8주차 A+보고서 1등보고서
    데이터 입력, 제어입력, 데이터 출력을 가진다. 4x1 MUX를 예시로 보면 논리회로와 진리표는 다음과 같다. ... [그림 1] MUX 진리표 [그림 2] MUX 논리회로 Encoder란 2^n개의 입력과 n개의 출력 조합으로 구성되어 있는 논리회로이다. ... 예시로 2x4 디코더를 위한 논리 회로는 다음과 같이 작성할 수 있다. [그림 4] 2x4 Decoder 논리 회로 3.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.03.24
  • 파일확장자 한양대 MUX & DEMUX
    또한, 74LS157 소자와 7-segment를 사용해 4bit Multiplexer의 output을 확인해본다. 74LS155 소자를 이용해 1X4 DEMUX 와 1X8 DEMUX의 ... Chapter 2. 관련 이론먼저, 다중화기 : Multiplexer (MUX)란 다수의 정보 장치를 소수의 채널이나 선을 통해 전송하는 회로이다. ... Select 신호에 따라 Input 값 중 하나를 고르는 회로로, MUX의 크기는 입력선과 출력선의 개수에 따라 결정되며, 2^N개의 입력 중 하나를 선택해 그 값을 그대로 출력하는
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.21
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    S=0 이고 E=1 일 때는 B이며 나머지 경우에는 Z이다. - 2X1 multiplexer(MUX)의 형태이다. 4. ... [실습 5] 2비트 2:1 MUX 회로를 case문을 사용하여 설계하시오. Source code Testbench f. ... 진리표로부터 논리회로를 Karnaugh Map을 이용한 최적화 방법으로 설계하시오. - , (3) 교안의 2:1 Mux의 진리표로부터 논리회로를 Karnaugh Map을 이용한 최적화
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 파일확장자 [A+, 에리카] 2021-1학기 논리설계및실험 MUX, DEMUX 실험결과보고서
    Chapter 1. 실험 목적MUX, DEMUX를 이해하고 이를 회로로 설계할 수 있다.Chapter 2. ... . n=2인 경우, 입력 수는 1이며, 출력 수는   이므로 1x4 디멀티플렉서라고 한다. ... 만약 n=2인 경우, 입력 수는   이고 출력 수는 1이므로 4x1 멀티플렉서라고 한다.- 4x1 Multiplexer와 진리표ü De-Multiplexer(DEMUX)- 멀티플렉서의
    리포트 | 7페이지 | 2,500원 | 등록일 2023.02.28
  • 한글파일 디지털 회로 실험 및 설계 - Multiplexer, DeMultiplexer 실험, JK Flip Flop 순차회로 실험 1
    논리상태 작성표 (Pspice 시뮬 결과 10us까지) Input Output SELECT STROBE G' Y0 Y1 Y2 Y3 S1 S0 X X H X X X X 0 0 L 0 ... 1 1 0 1 1 0 1 1 1 1 1 0 0 1 1 1 1 1 1 1 1 1 1 1 실험2) 1-to-4 MUX를 구성하고, S1과 S0, Y의 입력신호에 따른 출력 D0~D3를 ... 이 멀티플렉서는 4개의 데이터 중에서 하나를 선택하여 출력 X로 내보낸다. 이를 위해 선택 신호(S1, S2)가 사용된다. - 위 그림은 4입력 멀티플렉서의 진리표이다.
    리포트 | 19페이지 | 3,000원 | 등록일 2023.09.22
  • 워드파일 디시설, 디지털시스템설계 실습과제 4주차 인하대
    4 to 1 MUX 그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과 그림 SEQ 그림 \* ARABIC 2 : wave form 8 to 1 MUX 그림 SEQ 그림 \* ... 따라서 y의 2보수를 취해 연산을 진행하는 것인데, 스티뮬러스로 넣어준 y값들을 실제로 2보수를 취해 x와 덧셈연산을 진행하면 4비트를 넘어선 값이 출력되기 때문에 MSB의 1이 c_out으로 ... 뺄셈연산시 c_out에 모두 1이 출력된 이유를 보자. 우선 뺄셈연산은 사실 x + (-y)의 연산으로 진행된다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • 한글파일 [서울시립대] A+ 전자전기컴퓨터설계2(Bcd converter 코드포함) 5주차예비레포트
    : 1 MUX 회로를 설계하시오 입력 A : BUS Switch 1,2 입력 B : BUS Switch 3, 4 입력 S : BUS Switch 8 출력 Q : LED 1, 2 => ... 실험 목적: Decoder, Encoder, Mux 회로를 설계하여 결과를 확인한다. 2. ... 출력됨. 3x8 디코더 - 3개의 입력선과 8개의 출력선을 갖는 디코더 ① 3x8 디코더 로직 설계 ② 3x8 디코더 Test Fixture 파일 생성 ③ 3x8 디코더 시뮬레이션
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 한글파일 디지털 회로 실험 및 설계 - Multiplexer, DeMultiplexer 실험, JK Flip Flop 순차회로 실험 2
    이론값 Input Output SELECT STROBE G' Y0 Y1 Y2 Y3 S1 S0 X X H X X X X 0 0 L 0 1 1 1 0 1 L 1 0 1 1 1 0 L 1 ... 실제 실험 결과 Input Output SELECT STROBE G' Y0 Y1 Y2 Y3 S1 S0 X X H 1 1 1 1 0 0 L 0 1 1 1 0 1 L 1 0 1 1 1 ... 회로도, 이론값, 실험결과, 결과분석 실험1) 4-to-1 MUX를 구성하고, S1과 S0의 입력신호에 따른 출력 Y를 아래표에 작성하시오. ? 회로도 ?
    리포트 | 15페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 05월 05일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:20 오후