• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(526)
  • 리포트(496)
  • 시험자료(18)
  • 방송통신대(6)
  • 자기소개서(4)
  • 논문(1)
  • ppt테마(1)

"2x1 Mux" 검색결과 181-200 / 526건

  • 한글파일 논리회로실험 결과보고서4 Multiplexer & Demultiplexer
    Multiplexer (a) INPUT OUTPUT E S1 S0 D3 D2 D1 D0 Y 1 X X X X X X 0 0 0 0 1 1 1 0 ... INPUT OUTPUT D S1 S0 Y3 Y2 Y1 Y0 1 X X X X X X 0 0 0 1 1 1 0 0 0 1 1 1 0 1 0 1 0 1 0 1 1 0 1 1 0 1 1 ... INPUT OUTPUT D S1 S0 Y3 Y2 Y1 Y0 0 X X 0 0 0 0 1 0 0 0 0 0 1 1 0 1 0 0 1 0 1 1 0 0 1 0 0 1 1 1 1 0 0
    리포트 | 5페이지 | 1,500원 | 등록일 2017.03.09 | 수정일 2017.05.30
  • 한글파일 미시경제학 중간고사 정리
    U = f (x, y) dU = MUx ? dX + MUy ? ... dY {MUx pile{#}} over {MUy} = - {TRIANGLE x} over {TRIANGLE y승은 여가 한 시간을 쓰는 데 따르는 기회비용의 상승을 뜻한다는 사실에 ... : 상품묶음의 선호순서에만 관심이 있다는 뜻이다. - X와 Y의 선택에서 X가 공해물질일 때의 무차별곡선의 모양은? Y가 공해물질일 때의 무차별곡선의 모양은?
    리포트 | 12페이지 | 2,000원 | 등록일 2016.07.20 | 수정일 2016.09.17
  • 워드파일 디지털실험 결과 #4
    [주요코드] ▶ 코드분석 8-bit X, Y를 input으로 하고 S를 Selection pin, 그리고 8- bit M을 ouput으로 하는 8-bit 2-to-1 MUX 구현. ... [주요코드] ▶ 코드분석 Part 2에서 만든 2- to-1 MUX의 조합을 이용하여 3-bit 5-to-1 MUX 구현 [Compile] ▶ Compile 분석 Total Logic ... 알고보니 친구는 2-to-1 MUX를 5개 호출하여 5-to-1 MUX를 구현하였던데, 그것이 코딩하는 시간도, 혹시 회로에 수정할 일이 생겼을 때도 수월할 것이라는 생각이 들었다.
    리포트 | 7페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • 한글파일 실험4결과 MUX&DMX
    Multiplexer와 Demultiplexer의 구조는 출력부분에만 차이가 있다는 점에 착안, Mux를 응용하려 하였으나 시간이 부족하였다. 2. ... Mux입력 D0~D3은 임의로 설정하였고, Select signal을 조작함에 따라 우측 DeMux부분의 출력이 달라지는 것을 확인할 수 있다. 5) 1X8 Demultiplexer의 ... 실험은 입력이 4개뿐 인 4X1 Multiplexer를 만들었지만, 기본적인 구조와 전체적인 동작 원리를 이해할 수 있었다. 2) Demultiplexer Multiplexer와 마찬가지로
    리포트 | 6페이지 | 3,000원 | 등록일 2014.05.13
  • 한글파일 컴퓨터시스템구조 연습문제 4장 풀이
    각 멀티플렉서는 16개의 데이터 입력을 가지므로 4개의 선택 입력이 있다. b. 16x1의 MUX가 필요하다. c, 레지스터가 32비트이므로 32개의 MUX가 필요하다. 4-7. ... T0 T1 T2 T3 S1 S0 Load 0 0 0 0 x x 0 1 0 0 0 0 0 1 0 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 0 1 1 1 1 따라서, S1 ... 그림 2-11의 병렬 로드를 가진 4비트 카운터와 그림 4-6의 비트 가산기를 이용하여 다음 문장을 구현하는 하드웨어 블록도를 그려라. x:`R`1 larrow R`1+R`2 R2를
    리포트 | 7페이지 | 1,000원 | 등록일 2016.01.07
  • 한글파일 VHDL을 이용한 digital watch 설계
    그렇게 1초생성기, 2x1Mux, 12진카운터, 60진카운터, FND 부분이랑 2x1Mux가 계층구조로 설계되어있어서 그하위디자인인 And, Or, Not게이트파일도 추가한 모습이다 ... 그 외 2x1 Mux를 이용하여 시간을 세팅할수있는 Set 모드와 평상동작모드인 Run 모드로 구분하여 구현할수 있다. ⓐ 1초발생기 DE2에서 생성되는 CLK는 50MHz인데 이것을 ... 세그먼 트에 표시한다. ⓔ 2x1 M다.
    리포트 | 19페이지 | 2,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • 한글파일 디지털로직실험 12장 멀티플렉서를 이용한 조합 논리
    이를 그림 12-2(b)의 다이어그램에서 개념적으로 보여주고 있다. 그림 12-2 실제로 8-입력 MUX는 오버플로우 검출 논리를 구현하는 데 필요하지 않다. ... 출력이 A≥B로 되는 논리를 결정하고 표 12-1 진리표의 X열을 완성하여라. 첫 번째 두 항목은 예로써 이미 완성 되어 있다. 2. 두 항목 그룹으로 된 출력 X를 살펴보아라. ... 어떠한 N-입력 MUX도 2N개의 입력에 대한 출력 함수를 발생시킬 수 있다. 이를 설명하기 위해서 진리표를 그림 12-3(a)와 같이 쌍의 형태로 다시 만들어 보았다.
    리포트 | 8페이지 | 4,500원 | 등록일 2013.06.22 | 수정일 2021.02.02
  • 파일확장자 디지털시스템) Verilog를 이용한 Single cycle 구현
    module top (clk,res,x);input clk,res,x;wire [31:0]instruction,Read_data1,Read_data2,ALU_result,ReadData ... ,Read_data1,Read_data2);Mux2 m1(instruction[20:16],instruction[15:11],RegDst,RegDst_out);ALU32 alu1(Read_data1 ... s1(instruction[15:0],sign_out);data_memory d1(clk,ALU_result,Read_data2,ReadData,MemWrite,MemRead);Mux32
    리포트 | 2,000원 | 등록일 2013.06.09
  • 워드파일 전전컴설계실험2-7주차결과
    LED 1, 2 -실험 이론 2x1 MUX : Y= S0’I0+S0I1 -실험과정 1. ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 2:1 Mux를 설계한다.. 2. 2:1 Mux 를 Synthesize - XST Compile 과정을 거쳐 ... Compile한다 3. 2:1 Mux 의 I/O PIN을 설정 4.
    리포트 | 20페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 한글파일 TTL IC를 이용한 8비트 논리 연산 회로
    입력8개의 데이터 입력과 2개의 Enable단자, 2개의 제어단자, 2개의 출력으로 구성. 4X1 MUX가 2개가 있으나 제어단자를 공통으로 사용. ... 입력2개와 1개의 출력으로 구성. 1번핀과 2번핀은 1A와 1B로 입력,3번핀은 1Y로 출력선이 된다 74153 : 4X1 MUX 1개의 IC에 게이트 4개 포함. ... 0 IC1 = 7408(AND) IC2 = 7432(OR) IC3 = 7486(EX-OR) IC4 = 7404(NOT) IC5 = 74153(4X1 MUX) 위와 같이 IC번호를
    리포트 | 11페이지 | 2,500원 | 등록일 2014.01.29 | 수정일 2015.12.21
  • 한글파일 스톱워치(stop watch) 설계 프로젝트
    MUX MUX MUX MUX MUX MUX 1kHz 3x8 decoder 7segment Vcc 7segment output 5개의 7447소자에서 나오는 각각의 7개의 출력을 MUX에 ... 내부에서 클럭을 발생시킨 뒤 적절히 조절하여 10Hz의 클럭을 사용 2) start/stop 버튼을 사용하여 클럭을 차단시켜 카운터의 동작을 control (조건 1, 2) 3) ... 초/10 (00:00 .0)를 구현 [ 동작 조건 ] 1) 초기상태에서 start/stop 버튼을 한번 누르면 시간이 흐름. 2) 1번 상태에서 start/stop 버튼을 다시 누르면
    리포트 | 7페이지 | 5,000원 | 등록일 2013.12.26 | 수정일 2020.12.14
  • 한글파일 논리회로실험) Decoder / Encoder / 7-segment LED
    - 저번 실험에서 이용했던 MUX 에 대해 생각해보면 , MUX는 여러 개의 입력으로 데이터 신호와 선택 신호 , 그리고 하나의 출력 선으로 구성되어 있어 여러 개의 입력선 중 하나를 ... . 3) 입력 개수에 따라 2 x 1 encoder , 4 x 2 encoder , 8 x 3 encoder - 2ⁿ x n : 입력선과 출력 선은 다음과 같은 관계를 가진다. 4) ... 코드를 최대 2ⁿ 가지 정보로 바꿔 주는 조합논리회로이다. 3) 입력 개수에 따라 1 x 2 Decoder , 2 x 4 Decoder - n x 2ⁿ : 입력선과 출력 선은 다음과
    리포트 | 5페이지 | 2,000원 | 등록일 2014.01.06
  • 한글파일 2015년1학기 아이캠퍼스 경제학입문 중간고사 대체과제물
    두 재화 X, Y의 최종단위의 한계효용이 MUx=2MUy의 관계에 있다면 다음 중 소비자 균형이 달성되는 경우는? ... 4 ① Y=10-0.4X ② Y=5-2.5X ③ Y=20-2.5X ④ Y=20-0.4X ⑤ Y=5-2X 14. 다음은 가격통제에 관한 설명인데 틀린 것은? ... 5 ① Px=1/4Py일 때 ② Px=1/3Py일 때 ③ Px=1/2Py일 때 ④ Px=Py일 때 ⑤ Px=2Py일 때 22.
    리포트 | 6페이지 | 1,500원 | 등록일 2015.07.13
  • 한글파일 서강대학교 디지털논리회로실험 4주차결과
    출력되게 된다. 4-to-1 MUX 2개를 이용하여 신호를 묶어주고, 뒷단에 2-to-1 MUX를 붙여 8개중 하나의 신호만 output에 전달될 수 있게끔 하였다. ③ Demultiplexer ... 또한 I1, I2, I3, I4는 Multiplexer를 통해 output에 연결될 입력신호를 의미한다. ▲ 표2. 4-to-1 MUX 진리표S _{1}S _{0}f 0 0 I _{ ... X OPLUS Y`=` {bar{X}} BULLET Y``+`X BULLET {bar{Y}} 이므로 XOR은 다음과 같이 표현된다.
    리포트 | 9페이지 | 2,000원 | 등록일 2014.01.02
  • 파워포인트파일 수레-진자(도립진자)시스템 모델링및 매트랩 시뮬링크
    수레의 질량 (kg), 5kg J : 진자의 무게 중심에서의 회전관성, 0.1667 M : 진자의 질량 (kg), 0.4kg L : 축에서 진자의 무게 중심까지의 길이 (m), 1m ... 라이브러리의 Integrator 블록을 사용 와 를 구하기 위해서 적분을 두 번해야 하므로 각각 두개가 필요 적분 진자가 초기에 10도 만큼 기울어 있다고 가정하였으므로 Integrator2 ... 각 변수들의 값을 모으는 부분은 Connections 라이브러리의 Mux 블록이다.
    리포트 | 15페이지 | 1,000원 | 등록일 2013.12.03
  • 워드파일 디지털실험 예비 #4
    고생한 만큼 무언가를 얻어간 것 같았고, 현장 실험에서도 잘할수 있겠다는 자신감이 생겼다. 2. 8-bit 2-to-1 MUX을 완성하여라. ... 이 “1”이므로 Y값에 들어간 값인 SW[15:8]의 value가 LEDG에 켜졌다. 2번째 타임에서는 “0z0101010110110100”를 넣었을때도 마찬가지로 ‘0’이므로 X값의 ... input SW[7:0]의 값이 들어가 LEDG에 value들이 켜졌다. 3. 5-to-1 MUX를 구현하시오.
    리포트 | 8페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • 한글파일 vhdl 4x1mux 코딩
    학과 : 전자재료공학과 학번 : 이름 : 과제 5. 4 X 1 MUX 구현 ※결론 및 고찰 4x1 MUX는 일반적으로 생각할 때 무조건 4개의 입력과 1개의 출력으로 개략도를 찾아보았으나 ... 이미 정해진 SYMBOL을 통해 MUX를 구현할 수 있어 보다 편리하게 구현할 수 있었다. ... 입력값의 문자처리가 조금만 잘못되어도 오류가 나기 때문이다 또한 MUX의 SYMBOL을 통해 내부 회로도의 내용도 알 수 있었다.
    리포트 | 1페이지 | 1,000원 | 등록일 2008.06.12
  • 파워포인트파일 논리소자(AND,NOT,NOR,BCD,MUX,DEMUX,LATCH etc)를 이용한 디지털 공중전화 구현
    GAL22V10 9 11 저항 1K 6 2 세라믹 콘덴서 0.001uF 5 1 2N3904 4 5 LS 74279 3 7 LS 74175 2 9 LS 7447 1 수량 부 품 명 번호 ... - 2 - 3 공중전화 사용가능상태 알림 D-플립플랍을 이용한 숫자기억 트렌지스터 이용 잔여시간 표시 Counter를 이용한 잔여시간 감소 COIN 금 액 잔여 시간 1 2 3 ... 제작후기 시행 착오 1 전화번호입력부의 카운터 부분의 채터링 발생 2 카운터 부분의 지연회로 사용 (NOT GATE이용, 컨덕터 이용) 3 잔여시간 카운터부분에서 초기값이 00이
    리포트 | 13페이지 | 1,500원 | 등록일 2008.11.14
  • 한글파일 경제학개론 요약
    비용-편익분석 비용(C), 편익(B) = b/(1+r) + b/(1+r)^2 + ... = b/r -> 이자율이 5% 라면, 20b가 최종편익 => C(현재비용) < B(모든 수익의 ... (preference)가 존재하기 때문에 불가능 2.무수한 공급자와 수요자; 공급자와 수요자가 1개로 고정 -> 쌍방독점(군수품) 3.자유로운 진입과 퇴장 "4.완전한 정보" 5.외부효과의 ... x¢Z}에서 Z는 자기 자신에 속하는가, 속하지 않는가?
    리포트 | 5페이지 | 1,000원 | 등록일 2018.05.09
  • 워드파일 멀티플렉서를 이용한 조합논리
    어떤 출력이 A≥B를 나타내는지 결정하고 진리표 9-1의 X칸을 완성한다. 처음 두 개의 칸이 예로써 완성되어 있다. 2) 두 개의 그룹으로 된 X의 출력을 조사한다. ... 비교되어질 두 수를 나타내는 입력은 A2, A1, B2, B1이다. A2, A1와 B2비트는 MUX의 선택 입력에 연결되고, B1비트는 필요에 따라 데이터 입력에 연결되어진다. ... Input Output Connect Data to: A2 A1 B2 B1 X 0 0 0 0 0 0 0 1 1 0 0 0 1 0 0 1 0 1 0 0 0 0 1 0 0 1 0 0 1
    리포트 | 3페이지 | 1,000원 | 등록일 2012.11.20
  • 레이어 팝업
AI 챗봇
2024년 05월 25일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:00 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기