• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

통신회로 및 실습 - 3GATE 설계

*희*
개인인증판매자스토어
최초 등록일
2014.07.11
최종 저작일
2014.06
9페이지/워드파일 MS 워드
가격 3,000원 할인쿠폰받기
다운로드
장바구니

목차

1. 기본 게이트 소스 작성
2. 입력 게이트 설계
3. “bufif0”를 이용하여 설계하기
4. “notif1”를 이용하여 설계하기
5. “notif0”를 이용하여 설계하기
6. 실습결과 및 고찰

본문내용

3. “bufif0”를 이용하여 설계하기
그림 2-17에서 제어신호 “OE=0”일 때 동작하고, “bufif0” 연산자를 사용하고, 입력 신호 X0~X3, 출력신호 Y0~Y3은 “비트”로 선언해서 다시 설계한 후, “0,1,x,z”값으로 시뮬레이션 하여 기능을 확인한 다음, 실험하기 에서와 같은 방법으로 기능을 확인해보자.

4. “notif1”를 이용하여 설계하기
그림 2-17에서 제어신호 “OE=1”일 때 동작하고, “notif1” 연산자를 사용하고, 입력 신호 X0~X3, 출력신호 Y0~Y3은 “비트”로 선언해서 3-스테이트 인버터가 되도록 다시 설계한 후, “0,1,x,z”값으로 시뮬레이션 하여 기능을 확인한 다음, 실험하기 에서와 같은 방법으로 기능을 확인해보자.

6. 실습결과 및 고찰
이번 실습은 FPGA/CPLD내부의 인버터, 버퍼, AND, OR, XOR 등의 기본 게이트들을 논리 연산자를 이용하여 설계한 후 Schematic방법을 사용하여 설계하는 것과, 3입력의 NAND, NOR, XOR, XNOR의 게이트들을 비트처리 연산자를 이용하여 설계하는 것을 하였고, “bufif0”, ”notif1”, ”notif0”을 이용하여 버퍼와 인버터 설계를 해보았다. 실습과정을 통해 논리 연산자는 비트처리 연산자보다 더 간단한 것 같았고, bufif0, notif1, notif0은 xilinx에서 제공하는 함수와 같다는 것을 알게 되었다. 벡터 연산자로 하면 소스가 더욱 짧아져서 큰 회로를 설계할 때, 유용하게 사용될 것 같았다. 과제의 양이 많아 실습시간이 길었지만 결과 값이 잘 나와서 재미있었다.

참고 자료

없음
*희*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

더보기
최근 본 자료더보기
탑툰 이벤트
통신회로 및 실습 - 3GATE 설계
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업