• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

vhdl MEMORY 설계

소희짱
개인인증판매자스토어
최초 등록일
2017.11.26
최종 저작일
2017.05
10페이지/한글파일 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

* 본 문서는 한글 2005 이상 버전에서 작성된 문서입니다. 한글 2002 이하 프로그램에서는 열어볼 수 없으니, 한글 뷰어프로그램(한글 2005 이상)을 설치하신 후 확인해주시기 바랍니다.

목차

1. 실습목적

2. 이론

3. 실습내용 및 실습결과
1) Signal
2) Variable
3) ROM
4) RAM

4. 실습소감

본문내용

01 실습목적
Signal과 Variable의 차이점을 알고, Memory(ROM&RAM) 설계를 통하여 Variable 내용을 습득한다.

02 이론
① SIGNAL
SIGNAL name :type [range] [ := initial value]
Signal은 C언어의 글로벌 변수와 비슷한 개념이다. 회로의 내부-내부, 내부
- 외부 신호를 전달한다. Signal은 합성시 wire로 구성되면 각 부품의 연결에 사용되는 외적 변수이다.
회로의 순차코드 내부에서 사용될 경우 갱신이 즉각적이지 않다. 새로운 값의 갱신은 해당 Signal에서 값이 할당되는 process가 종결되는 시점에서 이뤄진다는 것이다. 즉, 이 말이 무슨 말인가 하면, 만약 clk의 Rising Edge에서 clk이 2일 때 flag의 값이 1일 경우 Signal은 한 클락이 밀린다는 얘기이다.
즉, 왼쪽 그림처럼 cnt가 2가 될 때가 아닌 3이 될 때 flag에 1이 저장된다는 말이다.

할당: <=
회로의 상호연결을 표현할 때 사용하며 코드 전체에서 사용 가능하다는 점이 C언어의 전역적 변수와 유사하다.

05 실습소감
이번 실습은 정말 간단해서 좋았다. 저번 주까지만 해도 너무 어려운 내용을 배우고 있는 것 같아 나의 한계에 대해 다시 생각하고 고민이 많았는데...

<중 략>

참고 자료

없음
소희짱
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 워드파일 시립대 전전설2 Velilog 결과리포트 2주차 9페이지
    , Verilog - Memory Editor : Hex, Mif - Schematic ... Design Entry (1) ISE - Text Editor : VHDL ... , 컴파일, 시뮬레이션, 프로그램 지원 (3) 설계 파일을 프로젝트화해서
  • 한글파일 VHDL를 이용한 Memory 설계 9페이지
    과 목 : 논리회로설계실험 과 제 명 : Memory 담당교수 : 김 종 ... 공간을 선언 하는 부분은 수업을 듣고 사용해야겠다는 개념은 알겠으나 정확히 VHDL에서 ... STD_LOGIC_VECTOR (3 downto 0)); end raminfr; ( VHDL
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 9. Memory Elements : ROM/RAM 예비 보고서 7페이지
    Vranesic, Fundamentals of Digital Logic with VHDL ... 회로 설계 관점에서는 n개의 입력과 b개의 출력을 갖는 조합논리회로로 볼 ... ROM 4개를 연결하여 128Kbyte의 ROM처럼 사용할 수 있도록 설계
  • 워드파일 SoC 보고서 - 4.8051 30페이지
    ROM은Read Only Memory로 주로 변하지 않은 것을 저장할 때 ... RAM은Random Access Memory의 약자로 읽고 쓰는 것이 자유로운 ... 코드 2-B-2 RAM 신호 및 컴포넌트 RAM의VHDL 코드임.
  • 파일확장자 [VHDL] memory설계 using VHDL 4페이지
    VHDL을 이용해서 memory설계하고,컴파일 후, 시뮬레이션한 결과( ... source code)-- This source is VHDL source ... Reference: Digital circuit design using VHDL
더보기
최근 본 자료더보기
탑툰 이벤트
vhdl MEMORY 설계
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업