• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(261)
  • 리포트(253)
  • 논문(4)
  • 시험자료(3)
  • ppt테마(1)

"stopwatch" 검색결과 101-120 / 261건

  • 한글파일 HDL을 사용한 디지털 클럭 코드
    ·시간이 증가하는 코딩이 완료되면, 각 조건(alarm, stopwatch)에 맞춰, 알람이 울리거나 시 간이 멈추는 등의 코딩을 추가한다. ... ,Clock_1MSec,Reset,Control,Start_S,Stop_S,Reset_S,AM_PM,Hours_S,Mins_S,Secs_S,MSecs_S,Flashing,Alarm, ... 0] Mins_S,Secs_S; wire[6:0] MSecs_S; wire AM_PM,Flashing,Alarm; wire Start_S,Stop_S,Reset_S, Clock_1sec
    리포트 | 20페이지 | 2,500원 | 등록일 2013.01.20
  • 한글파일 아주대학교 논리회로실험 설계 에비보고서
    [Segment Output Control Part] : IC set를 지나온 신호들을 7-segment의 control 단자에 알맞게 입력 IC set들을 지나온 각 신호들은 7- ... 이를 Decimal로 바꾸어주는 IC 74145를 사용하면 굉장히 빠른 시간에 output seg_power1부터 seg_power5에 LOW signal이 반복적으로 들어가게 된다 ... FND에 연결하여 control 할 수 있다. [7-segment power control Part] : 5개의 output 단자로 5개의 7-segment의 전원을 효과적으로 제어
    리포트 | 6페이지 | 1,500원 | 등록일 2016.06.16
  • 한글파일 일반화학실험1 - 용해열
    기구 - calorimeter - Chemical balance - spatula - thermometer - Weighing dish - kimwipes - stopwatch - ... )이 용매(solvent)에 녹아 있는 상태를 용액(solution)이라고 한다. ? ... 비열(specific heat capacity)은 어떤 물질 1 g의 온도를 1℃ 올리는 데 필요한 열량으로 단위는 J/g·℃ 또는 J/g·K이다.
    리포트 | 5페이지 | 2,500원 | 등록일 2018.12.29 | 수정일 2020.09.29
  • 파워포인트파일 VHDL 디지털 시계(소스,시뮬레이션,설명)
    Dclk_2v( stopwatch ) Reset 이 되는 경우 모두 초기화가 된다 . ... Bcd seg 입력 : clk , a, b, c, d, e, f(stopwatch 와 clock 의 출력값이 입력으로 들어감 ) 출력 : segout , common Seg 의 출력을 ... Reset 버튼으로 모두 초기화 Mode 버튼을 눌러 stopwatch 가 된다 . Mode 버튼을 눌러 clock 으로 변경된다 .
    리포트 | 16페이지 | 1,000원 | 등록일 2014.12.18
  • 파일확장자 VHDL 디지털 시계
    VHDL을 이용하여 시계, 알람, 타이머, 스톱워치 기능을 설계하였습니다. 사용자로부터 입력을 받을 수 있으며, 이를 LCD로 출력합니다.
    리포트 | 63페이지 | 3,000원 | 등록일 2010.12.07
  • 한글파일 아주대 논리회로실험 설계 프로젝트 결과보고서
    외형으로 보면 큰 모습과 작은 모습의 7-segment가 있었는데, 큰 segment는 세로의 형태로 pin이 있지만 작은 모습의 segment는 가로의 형태로 pin이 있어서 segment의 ... [Segment Output Control Part] : IC set를 지나온 신호들을 7-segment의 control 단자에 알맞게 입력 IC set들을 지나온 각 신호들은 7- ... ]와 Clock signal을 받아 7-segment 출력에 알맞은 형태로 변형하는 [Segment Clock Counting Part]와 IC set를 지나온 신호들을 7-segment의
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
  • 한글파일 [디지털논리회로] dash Watch (STOP WATCH) VHDL로 설계하기[쿼터스]
    = S6 STATE(6) = S7 8. ... 토의 ----------------------------------STATE(1) = S2 STATE(2) = S3 STATE(3) = S4 STATE(4) = S5 STATE(5) ... 0); SDm1: in std_logic_vector(3 downto 0); SDm10: in std_logic_vector(3 downto 0); CN: out std_logic
    리포트 | 30페이지 | 2,500원 | 등록일 2009.05.09
  • 한글파일 [디지털논리회로] StopWatch verilog로 설계하기
    sec_100 ... _60 ... //시작 변수 reg counting;//카운트 레지스터 설정 if (reset) begin//초기화시 sec_100
    리포트 | 8페이지 | 2,000원 | 등록일 2008.12.07
  • 파일확장자 발의 위치가 편마비 환자의 의자에서 일어서기에 미치는 dudgidq
    by a limb loader and time needed to rise was measured by a stopwatch. ... These results show that backward foot placement during sit-to-stand make patient with henuplegia distribute ... The patients with hemiplegia show different body weight distribution as compared to normal subjects.
    논문 | 9페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • 파워포인트파일 디지털 공학 설계프로젝트 팀별 최종 발표 PPT
    std_logic_vector (1 downto 0); // mode1이 stopwatch모드 MODE2 : in std_logic_vector (1(5 downto 0); 수행합니다 ... SW2 : in std_logic; SET : in std_logic; MODE1 : out std_logic_vector (1 downto 0); MODE2 : out std_logic_vector ... ; -- 선택버튼 3개 SW2 : in std_logic; 2개는 모드선택용 SET : in std_logic; 1개는 수치를 조작 LED_HH : out std_logic_vector
    리포트 | 9페이지 | 1,000원 | 등록일 2010.10.23
  • 워드파일 전자전기컴퓨터설계2 FinalProject [A+]
    10,S_1}; end else if (EN_SW) begin SW_TEXT = {SW_H,`space,`space,`space,`space,SW_M10,SW_M1,`col,SW_S10 ... DAY_2 = `E; DAY_3 = `T; end 6 : begin DAY_1 = `S; M_temp ! ... Verilog Code ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥4-71 - Verilog Code : 변수 및 상수선언, one shot 설정‥‥‥‥‥‥‥‥4-7 - Verilog
    리포트 | 82페이지 | 1,000원 | 등록일 2017.10.19
  • 한글파일 논리회로 설계-Stop watch설계
    Lab 2-2 논리회로설계 - 스톱워치 설계 (1) 그림 3-1의 스톱워치부의 각 블록을 논리설계한다. (2) MyLogic을 이용하여 설계한 각 블록의 schematic을 완성한다
    리포트 | 3페이지 | 2,000원 | 등록일 2008.12.03
  • 한글파일 아주대 논리회로실험 설계 프로젝트 예비보고서(Stop Watch)
    그리고 start/stop이 1의 값을 가질 때(카운팅 진행중) 7490 clear쪽의 AND gate에서는 start/stop 버튼에 의해 0의 값이 들어가므로 카운팅이 되고 있을 ... 숫자가 7-segment에 표시된다. ③ lap 기능 : 시간이 경과되는 중에 start 버튼을 한 번 더 누르게 되면 누를 때의 시간이 7-segment에 표시되는 상태를 유지하고 ... 7-segment 표시기를 갖는 BCD Counter 7-segment 표시기를 갖는 BCD Counter(7490) 7-segment 표시기를 갖는 BCD Counter(7447)
    리포트 | 7페이지 | 3,000원 | 등록일 2015.11.28
  • 한글파일 [디시설] stop watch 코딩 전북대 vhdl quartus
    // Clk_divmodule clk_div (clk, out); // Colck 분주 모듈input clk; // 입출력 변수 정의output out;reg [14:0] tempout;always @(posedge clk) // Posetive Edge 발생시 tem..
    리포트 | 6페이지 | 1,000원 | 등록일 2013.12.17 | 수정일 2016.07.16
  • 한글파일 일반물리학실험 중력가속도측정실험
    2개, 줄자 실험방법 ① 공 조임기를 연직방향으로 지지대에 고정시키고 쇠구슬이 낙하할 거리 h를 적당히 정한다. ② 측정 장치를 스마트 계시기에 연결한 후 계시기를 켜고 time stopwatch ... s 0.366 s g 10.340 m/s2 10.003 m/s2 4. ... s 0.392 s g 10.301 m/s2 10.673 m/s2 실험 2.
    리포트 | 4페이지 | 1,000원 | 등록일 2016.04.09
  • 한글파일 디지털시스템 verilog 실험 결과보고서의 모든 것,BCDto7Segment, FlipFlop, Counter, RAM, 유한상태머신회로, Dotmatrix, Stopwatch etc
    , sel_n; dec_2to4 U_DEC( .AIN(AIN[1:0]), .DOUT(do) ); assign sel = AIN[2]; assign sel_n = ~AIN[2]; assign ... 입력에 따라 출력은 C(carry값)과 S(sum값)으로 결정된다. 두 개의 HALF ADDER의 Carrry값에 OR gate를ule 2. ... {8{sel_n}}; assign DOUT[15:8] = do & {8{sel}}; endmodule // 4to1 MUX modu
    리포트 | 32페이지 | 3,000원 | 등록일 2012.11.27
  • 한글파일 Milikan Oil Drop Experiment 예비
    leads, stopwatch, Micrometer, Barometer. ● 설치방법 ① 실험자가 똑바로 앉아 기름방울을 관찰할 수 있게 가히위해 높이를 조절하고 이를 수평이 되게 ... 특수한 경우로서 물체의 크기가 매우 작거나 속도가 매우 느린 경우 (레이놀즈 수가 Re < 1)에는 stoke's law(스토크스 법칙)을 적용할 수 있다. ... 마지막으로 기름방울의 전하량을 구하기 위해 위에서 구한 v=- {qv _{0}} over {mg} E+v _{0} 식(1)에서 v-E 그래프의 기울기를 s라고 할 때, s=- {qv
    리포트 | 4페이지 | 1,000원 | 등록일 2016.11.10 | 수정일 2022.08.30
  • 한글파일 분석화학실험 A+ 보고서 8. Kastle-Meyer test
    , clamp, stopwatch, round bottom flask 받침, spoid, needle 6-2. ... Result 1) sample의 색변화 관찰 sample 1 2 3 4 케찹 핫소스 물감 피 ethanol + 면봉 무색 무색 무색 무색 + sample 붉은색(케찹 색) 주황색(핫소스색 ... 면봉에 ethanol, sample, KM reagent, H₂O₂를 묻혀 색 변화를 관찰하고 시간을 기록한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2017.07.10 | 수정일 2018.01.01
  • 파일확장자 [텀프로젝트] 디지털시계, 디지털 스탑워치, 디지털 타이머 (회로, 시뮬레이션, 소자, 구동원리, PPT)
    발진회로• 이 회로는 100Hz 대신 110Hz의 주파수를 클럭에 사용하는 회로입니다. 회로 상에서는 주파수에 손실이 일어날 수 있어 계산하여 10Hz를 추가하였다. 실제 기판에서는 56K에 해당하는 저항을 100K 가변저항으로 대체함으로써 이를 보완하였다. • 핀 5..
    리포트 | 31페이지 | 9,900원 | 등록일 2019.04.05 | 수정일 2022.04.10
  • 한글파일 [디지털시계]VHDL을 이용한 디지털 시계
    **디지털 시계와 stopwatch 소스 ** library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL ... ; mux_ctrl : process(sel, seg1, seg2, seg3, seg4, seg5, seg6) begin case sel is when 1 => digit ... : int2seg2port map(reset => tmp_reset, int_value => tmp_int_second, seg_10 => seg5, seg_01 => seg6);
    리포트 | 13페이지 | 2,500원 | 등록일 2008.06.14
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업