• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(261)
  • 리포트(253)
  • 논문(4)
  • 시험자료(3)
  • ppt테마(1)

"stopwatch" 검색결과 141-160 / 261건

  • 파일확장자 VHDL로 구현한 디지털시계 (EP1C6Q240C8)
    리포트 | 2,000원 | 등록일 2015.09.19 | 수정일 2019.06.10
  • 한글파일 7장 마케팅원론 생각해볼문제
    예를 들면 스포츠용으로 포지션된 시계는 거친 야외활동에 적합하도록 스테인레스로 된 외장과 방수기능, 내구성, stopwatch 기능 등의 제품특성을 갖추는 것이 바람직할 것이다. ... 기업은 A/S제도를 통해 고객의 상표충성도를 높일 수 있는데, 삼성전자가 무상 품질보증제도를 통해 상표충성도를 제고시킨 경우가 그 예이다. ⑤ 설치 설치란 설비 담당기사를 파견하여
    리포트 | 5페이지 | 1,000원 | 등록일 2015.06.22
  • 파워포인트파일 BOT
    , 20개의 나무구슬, 구두 끈, 20개의 나무못, 못박이 판, Student booklet, two red pencils, two black pencils - 책상, 의자2개, stopwatch ... as extraneous- ..PAGE:118 SUBTEST 7 / Item 5sf, 6, 7, 8sf ..PAGE:119 SUBTEST 7 / Item 5sf, 6, 7, 8sf ... ..PAGE:120 SUBTEST 7 / Item 5sf, 6, 7, 8sf ..PAGE:121 SUBTEST 7 / Item 5sf, 6, 7, 8sf ..PAGE:122 ..PAGE
    리포트 | 144페이지 | 1,000원 | 등록일 2014.03.09
  • 한글파일 밀리컨 유적실험 (이론+결과데이터+분석및토의)
    Multimeter, stopwatch, record data를 읽을 정도의 빛을 제외하고는 가능한 어 둡게 한다. b. ... 일정한 힘을 받게된 기름방울은 곧 stoke's law에 의하여 종말속도(terminal velocity)에 도달하게 된다. 즉 다음의 관계식이 성립하게 된다. ... F _{g} = {4} over {3} pi a ^{3} ( rho - sigma )정된다.
    리포트 | 7페이지 | 1,000원 | 등록일 2014.03.12
  • 한글파일 전자회로실험 - Digital Stop Watch2
    1. 실험제목 : Digital Stop Watch 2. 학번, 반, 조, 이름 : 0000000000 0반 0조 ㅇㅇㅇ 3. 제출일 : 2010년 10월 13일 수요일 4. 실험목적 ? 랩뷰를 이용해서 100ms발진회로를 만들어보고 카운터되는 숫자를 화면에 출력해보..
    리포트 | 9페이지 | 2,000원 | 등록일 2013.05.16
  • 한글파일 아주대 논리회로실험 프로젝트 FPGA로 Stop Watch 만들기
    그리고 start/stop이 1의 값을 가질 때(카운팅 진행중) 7490 clear쪽의 AND gate에서는 start/stop 버튼에 의해 0의 값이 들어가므로 카운팅이 되고 있을 ... 또한 카운터 출력값을 바로 7447에 연결하여 7segement 출력을 위한 신호로 변형하였다. 세 번째로는 start와 stop 버튼 동작이다. ... 그리고 stop을 클리어 입력으로 하여 stop가 입력되면 clear 하도록 구성 하였다.
    리포트 | 15페이지 | 5,000원 | 등록일 2015.03.12
  • 한글파일 Transference numbers_pre
    , stopwatch 2. ... 이 때, intencity는 거의 일정해야 하며, stopwatch를 이용해 전기분해에 소요되는 정확한 시간을 측정한다. 9) 전기분해동안, nitric acid의 initial concentration을 ... 시약 sodium hydroxide, Copper(ll) sulphate, nitric acid, sulphuric acid, aceton, 증류수 ① sodium hydroxide
    리포트 | 6페이지 | 5,000원 | 등록일 2011.06.10
  • 파일확장자 [디지털시스템]디지털 시계의 VHDL Code 구현 및 설명 (스톱위치, 시간세팅, 시간) & MAX PLUS 2 사용법 설명,
    -- 2]botton to return the stopwatch_mode of clear at any stopwatch condition state_flickering : out ... ,stop in the stopwatch_mode botton_function2 : in std_logic; -- 1]botton to increase ... -- ("00" :timedisplay_mode, "01" :timeset_mode, "10" :stopwatch_mode
    리포트 | 23페이지 | 1,500원 | 등록일 2006.01.13
  • 한글파일 등속 등가속도 운동 예비레포트
    실험도구 - Dynamics Cart - pulley and pulley clamp - mass set - stopwatch - string - paper clips - block ... Experiment 1 Kinematics 필요장비 - Dynamics Carts - Metric tape - stopwatch 실험목적 한 물체가 어떠한 특정 위치까지 도달 하는데 ... 필요장비 - Dynamics Carts - Metric tape - stopwatch ? 실험 목적 경사각과 마찰계수가 가속도에 미치는 영향을 실험을 통해 알아본다. ?
    리포트 | 6페이지 | 1,500원 | 등록일 2009.05.07
  • 한글파일 축전기의전기용량과RC회로의시상수측정실험
    오차의 원인을 살펴보면, 첫째, stopwatch를 이용해서 시간마다 전위차를 측정하는데 정확하게 stopwatch를 누를 수가 없다.
    리포트 | 3페이지 | 1,500원 | 등록일 2009.12.02
  • 워드파일 Review of sicko
    That includes the government, who quite often are the ones holding the stopwatch, bending the rules to ... Review of Sicko I have seen on of the Michal Moore’s movie the name is Fahrenheit 9/11. ... According to Moore, it’s a scandal that can be traced back to Richard Nixon.
    리포트 | 1페이지 | 1,000원 | 등록일 2010.12.27
  • 한글파일 Atmega128 스탑워치 자료 (코드 및 자세한 설명 첨부) A+ 받은 자료
    임베디드 시스템 설계 보고서 제목 1Hz의 신호로 LED On/Off & Stopwatch 제어 학과 전자공학과 학번 2000000 성명 홍길동 제출일 2014.11.04.(화) ① ATmega128의 타이머/카운터 Normal Mode 조사. ? AVR의 타이머/카..
    리포트 | 7페이지 | 3,000원 | 등록일 2015.01.27
  • 파일확장자 [전자계열 (VHDL)] STOP WATCH (VHDL)
    - Key Check• clock과 reset을 제외하고 외부에서 들어오는 모든 신호( start, hour_up, hour_down, min_up, min_down )를 이 블록을
    리포트 | 8페이지 | 1,500원 | 등록일 2003.06.26
  • 워드파일 Explaining 에세이. 기술발전의 장점
    The electronic stopwatch is another technological benefit. The electronic g. ... The International Olympic Committee knows the exact time of competitors by using the electronic stopwatch ... /stories/s1126942.htm" http://www.abc.net.au/southeastsa/stories/s1126942.htm>.
    리포트 | 4페이지 | 1,500원 | 등록일 2010.02.14
  • 한글파일 결과보고서-Exp 3. Digital Design Using Microcontroller (1st week).hwp
    What are the factors that disturb the accuracy of the stopwatch? ... Considerations ⑴ How precise do you think the implemented stopwatch is? ... (void){ ... while(1){ if(change_sec==1){ if(sec>=16){ number[1] =sec/16; //16초의 자리 number[0] =sec%16;
    리포트 | 10페이지 | 2,000원 | 등록일 2010.10.11
  • 한글파일 [생화학 실험보고서] 효소반응속도론
    Supplies : 2 mL UV-transparent cuvette, distilled water(DW), parafilm, stopwatch 4. ... k1[S]([E]T - [ES]) - k-1[ES] - k2[ES] = 0 d[ES]/dt = k1[S][E]T - [ES](k1[S] + k-1 + k2) [ES] = k1[S][ ... E]T / (k1[S] + k-1 + k2) = [E]T / (1 + (k-1+k2) / k1[S]) = [E]T / (1 + KM / [S]) * KM = (k-1 + k2) /
    리포트 | 7페이지 | 1,500원 | 등록일 2012.07.19
  • 파일확장자 논리 설계 및 실험, 부산대학교, 논리 설계 텀프로젝트, 디지털 시계 설계 (예비,결과 보고서 및 PPT 포함)
    이 프로그램은 부산대학교 논리설계및 실험 과목 텀프로젝트 디지털 시계 소스코드입니다. 본 프로그램에는 PPT(30장 이상) 예비보고서 (30장 이상) , 결과 보고서(80장 이상)이 포함되어있고, 디지털 시계의 모든 모듈이 저장되어있습니다. 분주회로, 카운터..
    리포트 | 10,000원 | 등록일 2014.12.22 | 수정일 2016.02.10
  • 파워포인트파일 작업관리 피피티
    작업연구 2) 시간연구(작업측정) 측정 기법 ▪ 스톱워치법(stopwatch, sw법) ▪ 연속가동분석법(종일분석) ▪ 작업표본검사법(work sampling) ▪ 기정시간 표준법( ... 각 종업원의 위치와 기능, 책임한계 등을 나타내는 도구로 조직도(organization chart)와 업무 분담표, 직무기술서(job description), 작업일정표(work schedule
    리포트 | 25페이지 | 2,000원 | 등록일 2011.11.08
  • 한글파일 마이크로프로세서 스톱워치(stop watch)
    TIME_S MOV R1,TIME_S CJNE R1,#60,T_INC_END MOV TIME_S,#0 INC TIME_M MOV R1,TIME_M CJNE R1,#60,T_INC_END ... LCALL LCD_STRING DB ' 00:00:00.00 ',0 MOV TIME_H,#0 MOVTIME_M,#0 MOV TIME_S,#0 ; clear time MOV TIME_MS ... A,#'0' MOV LCD_BUFFER,A CALL LCD_DATA MOV LCD_BUFFER,#':' CALL LCD_DATA MOV A,TIME_S MOV B,#10 DIV AB
    리포트 | 4페이지 | 1,000원 | 등록일 2008.07.11
  • 한글파일 R-C 시상수측정_일반 물리학 실험 레포트
    (이때는 stopwatch를 이용하는 것이 편리할 것이다.) 방전과정에서 측정한 를 T라 할 때의 수식 (2)와 (3)을 과정 ⑦에서 그린 그래프와 비교하라.
    리포트 | 5페이지 | 1,000원 | 등록일 2012.10.30 | 수정일 2023.08.11
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업