• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(261)
  • 리포트(253)
  • 논문(4)
  • 시험자료(3)
  • ppt테마(1)

"stopwatch" 검색결과 61-80 / 261건

  • 워드파일 서울여대 일반화학실험2 화학반응속도
    tape, pH paper, pen, stopwatch 6. ... 단위 : 2 mL / 91 s = 0.022 mL/s 2 mL / 100 s = 0.0200 mL/s M/s 단위 : PV = nRT n = PV / RT 산소의 몰수 = (1 atm ... 첫번째는 septum에 구멍이 나있어서 syringe가 움직이지 않았다.
    리포트 | 9페이지 | 2,000원 | 등록일 2023.04.01
  • 워드파일 [화공단위조작실험 A+] 침강과 항력계수
    2000ml mass cylinder - weighing dish - zirconia bead (3mm, 5mm, 10mm) - glass bead (3mm, 5mm, 10mm) - stopwatch ... 침강속도[cm/s] 소요시간[s] 침강속도[cm/s] 소요시간[s] 침강속도[cm/s] 구간 1 : 7.1 cm 11.97 0.5931 12.45 0.5703 11.55 0.6147 ... /s, glass bead 3mm일 때 0.5595cm/s, glass bead 5mm일 때 1.5638cm/s, glass bead 10mm일 때 5.7211cm/s로 측정되었다.
    리포트 | 36페이지 | 4,500원 | 등록일 2023.10.24 | 수정일 2023.10.25
  • 한글파일 간경변증(Liver cirrhosis) CASE STUDY 간호과정 A+
    혈액이 자연스럽게 나오기 시작하면 시간측정기구(스톱워치, stopwatch)를 이요하여 30초마다 여과지를 출혈 부위에 가볍게 접촉시킨다. ... * 문헌 고찰 # Liver cirrhosis (간경변증) (1)정의 간경변증은 만성적인 염증으로 인해 정상적인 간 조직이 재생결절(regenerative nodules; 작은 덩어리가 ... liver transplantation, 하나의 간을 둘로 나누어 2명의 수혜자에게 각각 이식하는 수술법), 축소 간 이식(reduced size liver transplantation
    리포트 | 14페이지 | 3,500원 | 등록일 2023.09.17
  • 워드파일 물리화학실험 기체의 유출 A+ 결과레포트 할인자료
    Three way stopcock 4. Platinium foil 5. stopwatch 6. Ballon 7 Rubber stopper 8. Stand 9. Clamp 6-1. ... 143.33s, 166.57s가 나왔다. ... . t1(O2(표준 물질)가 새어나가는 데 걸린 시간): 166.57s t2(N2가 새어나가는 데 걸린 시간): 143.33s M1(O2(표준 물질)의 분자량): 32g/mol M2
    리포트 | 24페이지 | 2,000원 (10%↓) 1800원 | 등록일 2024.04.07 | 수정일 2024.04.09
  • 파워포인트파일 [아동간호학] 울혈성심부전 퇴원교육
    ://media.istockphoto.com/vectors/stopwatch-with-dial-vector-id638345760? ... /images/search? ... https://www.bing.com/images/search?
    리포트 | 13페이지 | 1,000원 | 등록일 2022.09.14
  • 한글파일 [ 화공기초이론및실험2 A+ ] 용해열 측정 예비레포트
    Q _{반응} `=`-C _{열량계} TRIANGLE T 5) 온도계 (thermometer) 온도를 측정할 수 있는 기구 6) 초시계 (stopwatch) 4.
    리포트 | 8페이지 | 2,000원 | 등록일 2021.03.08
  • 한글파일 아동질환과 관련된 진단검사 문헌고찰
    혈액이 자연스럽게 나오기 시작하면 시간측정기구(스톱워치, stopwatch)를 이용하여 30초마다 여과지를 출혈 부위에 가볍게 접촉시킨다. ... Platelet(x 10 aPTT(sec) 33-45 [증가] 혈우병, 간질환, Vit K 결핍증 [감소] 암, 급성출혈 직후 2. ... 위함이다. (2) 방법 ① 자동 혈구 분석기 (automatic hematology analyzer) : 전기 저항(electrical impedence) 또는 광산란(light scatter
    리포트 | 8페이지 | 2,000원 | 등록일 2022.02.01
  • 한글파일 레이놀즈수 측정 실험 예비보고서
    이때 H를 조정할 때마다 안정상태를 유지시킨다. ⑶ 층류에서 난류로 넘어가는 상태가 되면, H를 고정시키고 mass cylinder 및 stopwatch로 유량을 정확히 측정한다. ... 유체의 유량은 질량 기준 유량 W(kg/sec)로 나타낼 경우 다음과 같다. W= Q= Au ~ (kg/sec) 실험방법 1. ... ② 질량유량(M) = Q.ρ = A.v.ρ [kg/s] ③ 중량유량(W) = Q.ρ.g = A.v.ρ.g [N/s] ④ 적산유량(G) = ∫Q.ρ = ∫A.v.ρ [㎥,kg] (
    리포트 | 5페이지 | 1,000원 | 등록일 2020.04.12
  • 워드파일 [고분자소재공학실험A+] 음이온 개환 중합에 의한 나일론 6의 합성
    그 후 이러한 과정을 진행할 동안 마그네틱 바를 첨가하여 미리 데워놓은 140℃, 150℃, 160℃ oil bath에 준비한 vial을 넣고, 넣은 순간부터 stopwatch로 시간을 ... 분석방법은 밤새 50℃의 진공 오븐에서 건조시킨 약 5mg 정도의 다양한 sample을 25℃ 상온에서 2분 동안 방치한 후 10℃/min으로 230℃에서 가열시키는 것이다. ... 분석방법은 중합된 sample을 갈아 무게(mtot)를 측정한 후 밤새 탈염수에서 환류시켜 건조하고, 다시 무게(mpol)를 측정하는 방식(casting mold로서 시험관 사용)인데
    리포트 | 11페이지 | 3,500원 | 등록일 2020.08.29 | 수정일 2022.03.16
  • 한글파일 일반물리학실험 - 중력가속도 측정(예비+결과보고서)
    실험방법 1) 공 조임기를 연직방향으로 지지대에 고정시키고 쇠구슬이 낙하할 거리 h를 적당히 정한다. 2) 측정 장치를 스마트 계시기에 연결한 후 계시기를 켜고 time stopwatch ... _{i=1} ^{N} x _{i} y _{i} - sum _{i=1} ^{N} x _{i} sum _{i=1} ^{N} y _{i}} over {D} =8.98로 주어진다. sum ... _{i=1} ^{N} (y _{i} -y) ^{2} =3.66 TIMES 10 ^{-4} 이므로 sigma _{y} = sqrt {{1} over {N-2} sum _{i=1} ^
    리포트 | 8페이지 | 1,500원 | 등록일 2020.05.14
  • 한글파일 베릴로그(verilog) HDL 시계 프로젝트
    일단 한번 Stop_S가 1이되면 Stop_S가 0이 되고 Start_S가 1로 바뀌어도 동작하지 않음) 동작확인 => Stop_S가 기존에 1이었다가 0이 되고, Start_S가 ... (이후 Start_S 가 1이되면 정상적으로 카운트) => Stop_S가 1이 된 이후 다시 0이 되고 Start_S가 1이 되어도 동작하지 않고 있다가 Reset_S이 1이되는순간 ... Secs_S가 0부터 카운트하기 시작해서 59까지 카운트 한 뒤 0이 될 때 Mins_S의 카운트를 하나 증가시키고 Secs_S는 0으로 변하는것을 확인 할 수 있다. ▣ Mins_S
    리포트 | 17페이지 | 3,000원 | 등록일 2022.04.15 | 수정일 2024.04.08
  • 한글파일 동기부여이론(고전이론, 인간관계이론, 매슬로우의 욕구이론, ERG이론, 행동수정이론, 동기위생이론, 보상모델)
    그는 스톱워치(stopwatch)의 사용과 일한 양에 따라 보수를 받는 상여금제도를 제안하였다.
    리포트 | 6페이지 | 2,000원 | 등록일 2020.08.09
  • 한글파일 [A+] 2023년 인간의 행동과 의식 족보 (레포트, 퀴즈, 시험문제 전부 포함) + (2019,2022년 족보 포함)
    휴대폰 시계 ) 가. stopwatch 나. 손목시계 다. 휴대폰 시계 라. 기타 3.
    시험자료 | 70페이지 | 15,000원 | 등록일 2023.06.09 | 수정일 2023.06.14
  • 한글파일 라인밸런싱(LOB)에 대해 조사하고 관련 사례나 문제풀이 예를 제시하시오
    본 과제를 통해서는 최정화 외(1998)에서 stopwatch를 이용하여 A사의 작업 공정을 측정한 사례를 이용하였다.
    리포트 | 6페이지 | 2,000원 | 등록일 2019.10.07
  • 한글파일 부산대학교 기전실 스톱워치 설계
    연결에 주의하며 카운터 각 자리의 값과 7 segment decoder, 그리고 7 segment display를 연결합니다. 1. ... 게다가 선 불량으로 발생하는 문제가 생기면 실험 자체가 망하니, 선을 새로 까서 길이별로 준비해 두시는 게 좋습니다. 3. 7 segment에는 저항을 무조건 달아야 합니다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.07.20 | 수정일 2023.06.06
  • 한글파일 학교보건 초등학교 지역간호실습 불안전한 학교 교내 외와 관련된 교내사고 위험성, 장기간 전자기기 노출과 관련된 시력이상 위험성 간호진단
    시력검사용 지시봉 혈 압 계 청 진 기 펜 라 이 트(penlight) 상담용 의자, 탁자 및 진찰용 의자 등 검안경, 검이경, 비경 혈 당 측 정 기 청 력 계 스 톱 워 치(stopwatch ... 학교명 : OO초등학교 주소 : 연락처 : 전화번호 : 팩스 : 홈페이지 : 약도 : 지하철 이용 시: - 학교앞: 교사(school building) 배치도 : 교사배치도 학교연혁 ... 대해 평가하고, 70%이상의 학생들이 실천하지 않고 있다면 다시 한번 재교육과 재연습을 하도록 재계획을 하도록 한다. 2020.11.23.~(3개월 마다) 복도 계단 3) 평가계획 #
    리포트 | 22페이지 | 2,500원 | 등록일 2021.04.06
  • 한글파일 간호학과 교직 학교간호과정 케이스
    스톱워치(stopwatch) 차. 검안경·검이경·비경 카. 펜라이트(penlight) 타. 치과용 거울, 핀셋 파. 상담용 의자·탁자 및 진찰용 의자 등 4.
    리포트 | 84페이지 | 5,000원 | 등록일 2020.09.23 | 수정일 2021.07.15
  • 한글파일 마이크로프로세서 설계 및 실습 타이머 스탑워치 구현 및 설명
    toggle switch ¼ P3_2 - Reset tact switch h P3_3 ○. stopwatch 구현에 사용할 소자들의 사진 및 특성 (8051 소자) (8051 소자의 ... 기회에 서로의 자료를 공유 하면서 자기 손으로 작품을 완성시켜 나아간다면 보다 많은 자기발전의 기회가 되리라고 봅니다. ○.설계 목록 - 4개의 FND를 모두 디스플레이하여 4자리 stopwatch를 ... 3 P2 #define FND2_CS P3_0 #define FND3_CS P3_1 #defineSW3 P3_2 #defineSW4 P3_3 #define on 0 #define off
    리포트 | 10페이지 | 5,000원 | 등록일 2016.05.18 | 수정일 2021.05.13
  • 파일확장자 [코드 복사가능, 학점A+] 전전설2 10.Term Project - 예비+결과+발표자료+성적인증 (서울시립대)
    략>토의Challenges & solutions1. ... Improve your design skills by implementing various additional features on it.실험 목표Obligatory1. ... 시간 reset, stop 기능OptionalList of all functions, Alarm & melody, Stopwatch, Timer, World clock, etc…
    리포트 | 8페이지 | 3,500원 | 등록일 2021.07.10 | 수정일 2021.11.09
  • 한글파일 VHDL VLSI SOC 설계stop watch
    : std_logic_vector(6 downto 0); begin link : segconnect port map(ss=>tb_ss, rst=>tb_rst, clk=>tb_clk ... port( ss, rst, clk : in std_logic; Q1 : out std_logic_vector(6 downto 0); Q2 : out std_logic_vector(6 ... tb_segconnect is end tb_segconnect; architecture tb_behave of tb_segconnect is component segconnect
    리포트 | 13페이지 | 1,000원 | 등록일 2018.11.18
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업