• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

[디지털논리회로] StopWatch verilog로 설계하기

*수*
개인인증판매자스토어
최초 등록일
2008.12.07
최종 저작일
2007.11
8페이지/한글파일 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

소개글

StopWatch Verilog HDL로 설계하기

목차

1. Verilog HDL 소스 및 주석
2. waveform 분석결과
3. 입력값(sw1,sw2)에 따른 모드 변화 상태의 FSM
4. 회로 구현상 각 module 간의 관계를 나타내는 블록 다이어그램
5. 토의 사항 및 분석

본문내용

1. Verilog HDL 소스 및 주석

module StopWatch
(led1, led2, led3, led4, led5, led6, startstop, reset, clock, led_second);

input startstop;//인풋 시작점
input reset;//리셋 버튼
input clock;//클럭

output [6:0] led1;//아웃풋 segment 처음 LED
output [6:0] led2;
output [6:0] led3;
output [6:0] led4;
output [6:0] led5;
output [6:0] led6;
output led_second;

reg [6:0] led1;// 7-sec에 대한 레지스터
reg [6:0] led2;
reg [6:0] led3;
reg [6:0] led4;
reg [6:0] led5;
reg [6:0] led6;

reg [3:0] sec_100;//카운터시 쓰는 레지스터 1/100초
reg [3:0] sec_10;//1/10초
reg [3:0] sec;//1초
reg [3:0] sec_60;//10초
reg [3:0] min;//1분
reg [3:0] min_60;//10분
reg led_second;//1초당 깜빡 깜빡.

always@(posedge clock) //깜빡깜빡.~~ 하게 만드는..
begin
led_second = clock*100;//reg led_second는.... 클럭 *100
end

참고 자료

없음

자료후기(3)

*수*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
[디지털논리회로] StopWatch verilog로 설계하기
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업