• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,819)
  • 리포트(4,215)
  • 논문(320)
  • 시험자료(215)
  • 자기소개서(29)
  • 방송통신대(26)
  • 이력서(10)
  • 서식(4)

바로가기

counter 독후감 - counter 관련 독후감 1건 제공

"counter" 검색결과 81-100 / 4,819건

  • 한글파일 [전자회로실험] Flip flop과 counter(결과)
    Asynchronous counter와 synchronous counter를 비교, 설명하라. ... 그림 8.6의 count up ripple counter를 변경하여 count down ripple counter를 구성하고 time diagram을 작성하라. 4. ... 그림 8.8의 동기 up counter를 변경하여 동기 down counter를 구성하고 time diagram 을 작성하라. 6.
    리포트 | 18페이지 | 1,000원 | 등록일 2003.10.25
  • 한글파일 [전자계산기설계] counter
    // Verilog Code : 4-bit Up/Down Counter module cnt4(d, up, ld, ce,clk, rst, q); input [3:0] d; input ... up, ld, ce, clk, rst; output [3:0] q; reg [3:0]q; always @(posedge clk or negedge rst) begin if(! ... rst) q = 4'b0; else if(ld) q = d; else if(ce) begin if(up) q = q + 4'b1; else q = q - 4'b1; end else
    리포트 | 1페이지 | 무료 | 등록일 2001.11.17
  • 한글파일 [디지털논리]Sequential Logic Circuit Design ; Synchronous Binary up-counter
    서론 - 설계 목적 ① binary counter의 역할을 이해한다. ② synchrnous type digital circuit의 설계과정을 이해한다. ③ CAD 프로그램의 사용법을 ... 학기 2005/2 교과목 코드 51956 담 당 교 수 김기만(교수님) 제 목 Sequential Logic Circuit Design ; Synchronous Binary up-counter ... 서론 - 설계 목적 ① binary counter의 역할을 이해한다. ② synchrnous type digital circuit의 설계과정을 이해한다. ③ CAD 프로그램의 사용법을
    리포트 | 7페이지 | 1,500원 | 등록일 2006.06.21
  • 한글파일 [VHDL] 플리플롭(flip-flop), 카운터(COUNTER), 8진 카운터, 10진 카운터(counter),래치(Latch)
    되고, 스위치를 GND로 두면 UP counter가 된다. 4. 10진 카운터(counter) 10진 카운터는 0에서 9까지 10개의 상태를 카운트하는것으로 10진수를 2진 코드로 ... D플립플롭의 출력은 B와 C가 1이고 A가 1에서 0으로 변할 때 상태를 1로 바꾸고 B, C가 0이고 A가 1에서 0으로 변하면 D플립플롭의 출력이 0이 됨을 알 수 있다. ... C플립플롭의 출력은 B플립플롭의 출력이 1에서 0으로 변할 때 상태를 바꾼다. 4.
    리포트 | 9페이지 | 2,000원 | 등록일 2009.05.04
  • 워드파일 what ‘bean-counters’ means and the reason why the term has been used with definite reference to management accountants’ conventional roles
    The term ‘bean-counters’ was used with specific reference to the conventional roles of management accountants ... Introduction Conventional roles of management accountants, who have sometimes been described as ‘bean-counters ... Thus, this essay will give an explanation of what ‘bean-counters’ means and the reason why the term has
    리포트 | 7페이지 | 5,000원 | 등록일 2009.06.22
  • 한글파일 [논리회로실험] 3bit up/down counter
    3bit up/down counter --------------------- ● 3bit up/down counter 블록도 ● 3bit up/down counter 진리표 A B ... 1 0 1 X 0 X 1 1 X 1 1 1 1 X 0 X 0 1 X 1 1 1 0 1 1 0 X 0 X 0 X 1 1 0 0 0 X 1 X 1 X 1 ● 3bit up/down counter ... C X A+ B+ C+ JA KA JB KB JC KC 0 0 0 0 1 1 1 1 X 1 X 1 X 1 0 0 1 0 X 0 X 1 X 0 0 1 0 0 0 0 0 X 0 X X
    리포트 | 5페이지 | 1,000원 | 등록일 2002.12.22
  • 한글파일 vhdl소스(counter외 20가지)
    (7 downto 0); ch: out STD_LOGIC ); end parity_check; architecture parity_check_arch of parity_check is ... ); end DFF; architecture DFF_arch of DFF is begin process(d,ck) begin if ck'event and ck = '1' then ... Fullsub11 is begin process (x3,x4,ci2) begin if x3>x4 then if ci2='0' then co2
    리포트 | 20페이지 | 1,000원 | 등록일 2000.10.05
  • 파워포인트파일 [자료구조] A step counter table for the function
    ■ Data structures in C A step counter table for the function (p25. ... 2]={1,2,3,4}, bbb[2][2]={1,2,3,4}, ccc[2][2]={0,0,0,0}; mult(aaa, bbb, ccc); printf( \n\n%d ,count); ... { count++; c[i][j]+=a[i][k]*b[k][j]; count++; } count++; } count++; } count++; } main() { int aaa[2][
    리포트 | 13페이지 | 1,000원 | 등록일 2002.12.03
  • 한글파일 [논리회로] 논리회로 3bit switch tail ring counter (링카운터) - 직접 실험으로 구성
    단순한 ring counter의 설계가 아닌 제어논리를 포함한 counter의 설계라는 것을 잊은채 실험을 하였고 따라서 문제점이 그곳에 있었던 것 같은데 실험하는 상황에서 Preset과 ... 마지막 플립플롭의 output 을 맨 처음플립플롭의 D 입력에 연결을 시켜 switch tail ring counter를 완성하였 다. ... - 3 bit switch tail ring counter 1) Ring Counter의 정의 - Ring Counter : 전자적 펄스 계수장치. 환형계수기라고도 한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2003.12.02
  • 파일확장자 [A+, 에리카] [A+] 2021-1학기 논리설계및실험 Counter 실험결과보고서
    그림에서 보듯 클럭 펄스가 공동으로 각 플립플롭에 들어감을 확인할 수 있다. - 비동기식 카운터(Asynchronous counter=Ripple counter): 한 플립플롭의 ... . - 동기식 카운터(Synchronous counter): 여러 개의 플립플롭이 변경되어야 할 때 상태 변화 가 동시에 발생하도록 공통 클럭 펄스에 의해 동기화된 플립플롭의 작동위의
    리포트 | 5페이지 | 2,500원 | 등록일 2023.02.28
  • 파일확장자 전기이중층 캐패시터 상대전극을 활용한 전기변색소자의 순환안정성 향상
    Normally, electrochromic devices (ECDs) consist of EC materials, an electrolyte, and counter electrode ... In this paper, we used and compared the counter materials of ferrocene and carbon electrode for the dimethyl ... The counter material is essential for improving ECD properties such as long-term switching stability
    논문 | 7페이지 | 4,000원 | 등록일 2024.01.29
  • 한글파일 Counter 회로제작 (기초공학실습, KAIST)
    즉, counter로 표현하면 2^n 숫자까지 셀 수 있는 counter를 만들 수 있다. 7. ... [리플 counter] [동기형 카운터] 3. ... 동기식 counter 회로는 J-K flip-flop의 recursive한 구조를 이용한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2020.12.31
  • 워드파일 디시설, 디지털시스템설계 실습과제 8주차 인하대
    N bit binary up/down counter 그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과 그림2 : waveform (eup = 1) 그림3 : waveform ... 그림8 : 모듈구현 결과 그림 9 : waveform 결과분석 및 고찰 첫번째 문제에서는 hierarchical 하게 카운터를 구현하기 위해서 N bit binary up down counter를 ... cascadable하게 구현했다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • 워드파일 서강대학교 디지털논리회로실험 레포트 7주차
    그림 SEQ 그림 \* ARABIC 2. 4-bit binary ripple counter -동기 counter 동기 countercounter를 구성하는 모든 flip-flops의 ... 그림 2와 같은 형태의 구조를 갖는 counter를 특별히 ripple counter라고 하며 대표적인 비동기 counter이다. ... 실험 목적: 1) counters: -counter의 구조와 동작원리를 이해한다 -비동기/동기 counters 2) state machine design -mealy and moor
    리포트 | 26페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 한글파일 디지털 논리회로 실험 10주차 Counter 예비보고서
    실험 목적동기식(synchronous), 비동기식(asynchronous) 카운터(counter)에 대하여 공부한다.2. ... 즉, “입력 펄스의 개수를 기억해서 저장, 숫자를 세는 것”(count)이 중요하게 되는데, 이러한 기능을 가진 디지털 회로를 카운터 회로 라고 말한다.(1) 비동기식 업 카운터그림
    리포트 | 14페이지 | 1,500원 | 등록일 2021.04.22
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    실험 결과 (1) 실습1 설계 조건 4-bit up counter의 출력 값을 single FND에 표시 1. up counter 설계 2. static 7 segment를 모듈화해서 ... 사용 code Combo box실험결과 (2) 실습2 설계 조건 Design counter with Piezo 동작 검사 1.TOP module 설정 2. ... 실험2) Design counter with Piezo 실험2에서의 코드해석은 실험결과 주석 부분을 참고한다.
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 파일확장자 논리회로실험 A+결과보고서 8 Counter
    십진수 한자리로 1단 10진 counter를 만들 수 있다.) ... 고찰이번 실험은 카운터의 동작 원리와 특성을 이해하고 N진 카운터(실험에서는 2진 3진), 동기식 카운터, 비동기식 카운터, BCD counter와 7-segment의 출력의 특징과
    리포트 | 8페이지 | 1,000원 | 등록일 2020.10.09
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    사용 code simulation (2) 실습2 설계 조건 Design counter with Piezo 동작 검사 1.TOP module 설정 2. ... array에 -128 ~ 127 로 표현하는 모듈 설계 조건 *코드가 자꾸 WARNING이 떠서 시뮬레이션을 확인할 수 없었다. code (6) 실습6 4-bit up-down counter의 ... 실험 방법 (1) 실습1 설계 조건 4-bit up counter의 출력 값을 single FND에 표시 1. upcounter 설계 2. static 7 segment를 모듈화해서
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • 워드파일 시립대 전전설2 Velilog 예비리포트 7주차
    Mealy Machine for the Serial I/O code converter 6.74LS193A counter 참고 문헌 전전설 교안 ... with a synchrounous reset (5) Mealy Machine for the Serial I/O code converter (6) 74LS193A counter 참고 ... converter (5) 74LS193A counter < 74LS193의 Datasheet (Pin배열) > VCC : 전원을 공급하는 부분 GND : 접지단자 < Input >
    리포트 | 17페이지 | 1,000원 | 등록일 2021.04.16
  • 한글파일 예비보고서(3)-Shift_Register-시프트레지스터
    목적 이 장에서는 순서논리회로의 기본적인 응용회로가 되는 시프트 레지스터 (Shift register), 링 카운터 (Ring counter), 존슨 카운터(John counter) ... 다음 그림 6은, Logic Works를 활용하여 시뮬레이션 한 결과이다. △ 그림 6 Ring counter 시뮬레이션 결과 CLKQ _{3}Q _{2}Q _{1}Q _{0} 1 ... 다음 그림5는 병렬 입력/병렬 출력 레지스터를 나타낸다. ◁ 그림 5병렬 입력/병렬 출력레지스터 (2) Ring counter 링 카운터는 각 순서의 상태에서 하나의 플립플롭을 사용한다
    리포트 | 10페이지 | 2,000원 | 등록일 2020.10.14
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업