• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,819)
  • 리포트(4,215)
  • 논문(320)
  • 시험자료(215)
  • 자기소개서(29)
  • 방송통신대(26)
  • 이력서(10)
  • 서식(4)

바로가기

counter 독후감 - counter 관련 독후감 1건 제공

"counter" 검색결과 41-60 / 4,819건

  • 워드파일 논리회로실험 counter 예비보고서
    주요이론 Counter - 실험에서는 F/F을 이용한 Counter와 BCD counter를 사용한다. - F/F을 이용하는 경우 최하위 Bit부터 채워지는 2진 counter를 기본 ... - 2단 2진 counter의 결과 확인 가능한 출력의 개수에 맞추어 AND gate를 구성한다. ... 전송지연을 없애기 위해서 모든 F/F들은 같은 clock 펄스에 의해 동시에 트리커시킬 필요가 있으며 이러한 카운터를 동기식 카운터(synchronous counter)라고 한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2012.03.08
  • 파일확장자 한국교육정치학연구의 특징과 미국교육정치학연구의 연구동향 (Reflective Recollection of the research of Politics of Education in Korea and Its Learning from counter-part research in the U. S.)
    and contrasted the characteristics of the academia and its components of two nations. ... ’s concept of ‘Homo Civicus’ and Labaree’s framework of ‘citizen vs consumer’ to bring more productive ... arguments aiming consensus in common good and better aim of education.
    논문 | 19페이지 | 4,800원 | 등록일 2017.06.14
  • 한글파일 아주대 논리회로실험 counter 결과보고서
    논리회로실험 결과보고서 실험8. counter 실험 1) 2단 2진 Counter 비동기식 Counter - J-K F/F을 통한 2단의 2진 값을 AND 게이트를 이용하여 확인 - ... 각각의 단에서 나오는 출력을 합치면 총 4개이므로 출력들을 LED에 연결해주어야 하며 clock 펄스를 인가했을 때 불이 순서대로 깜빡이며 계속 순환된다는 것을 확인 할 수 있었다. ... 7490을 이용한 BCD Counter를 통해 0~9 까지의 값을 얻음 - 스위치를 이용해 2, 3번 핀이 GND에 연결된 후부터 동작 실험 3 결과값 shift pulse A B C
    리포트 | 9페이지 | 1,000원 | 등록일 2013.11.29
  • 한글파일 [VHDL]실험12. 16진 counter
    (CLK) then if(counter=15) then counter ... 결론 및 Discussion 실험 관련 이론에 대해 조사하면서 동기 counter 는 각 counter Cnt_#에 입력되는 클럭(clock)신호들은 단일 신호이며 이러한 동기 counter는 ... 학기 2011년 1학기 과목명 디지탈논리회로실험 LAB번호 실험 제목 12 16진 counter 실험 일자 제출자 이름 제출자 학번 팀원 이름 팀원 학번 *실험 목적 (1) 동기 reset을
    리포트 | 7페이지 | 1,000원 | 등록일 2011.06.08
  • 한글파일 논리회로실험 실험8 counter 결과보고서
    실험 결과 : 실험 1 예상 결과 실험결과 사진 clock bit1 bit2 bit3 bit4 bit4 bit3 bit2 it1 0 5 0 0 0 1 0 5 0 0 2 0 0 5 0 ... 실험 결과 : 실험 3 예상 결과 실험결과 사진 clock LED 표시 숫자 7 segment 0 0 1 1 2 2 3 3 4 4 5 5 6 6 7 7 8 8 9 9 ?
    리포트 | 9페이지 | 1,000원 | 등록일 2013.09.08
  • 한글파일 인하대학교 전자공학과 전자회로실험2 결과보고서 timer counter (타이머 카운터)
    값을 기록한다. counter register 는 0으로 초기화 된후 증가하다 compare register 과 값이 같으면 인터럽트가 발생한다. ... 2)Output Compare Match Interrupt : 두 개의 레지스터가 필요하다. counter register 는 횟수를 기록하고 compare register 는 n ... 카운터는 외부 핀(TOSC1, TOSC2, T1, T2 , T3)을 통해서 들어오는 펄스(사건)를 Edge detect 하여 event counter(사건의 횟수)로서 동작한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2017.12.25
  • 한글파일 shift, counter register 실험보고서
    실험보고서 , 목 차 1. 목적 ……………………………………… 3P 2. 서론 ……………………………………… 3P 3. ... 소감 및 고찰 - 이번 실험에서는 shift, counter register에 관한 실험을 실시 하였다. 이 실험에서는 7495 시프트 레지스터 회로를 사용한다. ... IC 칩으로 여러 가지 동작 확인이 가능하다는 것을 알 수 있었다. - shift, counter register에 대해 실험을 했는데, 이 실험에서 사용되는 회로는 7495 shift
    리포트 | 7페이지 | 2,000원 | 등록일 2011.11.21
  • 파워포인트파일 4bit binary up/down counter
    Self-stopping counter 4-bit binary up/down counter(Fig 6-13) is infinitely circulating counter. ... counter - Up The action is similar up counter. ... When the up and down inputs are both 1, the circuit counts up. This counter is synchronous counter.
    리포트 | 10페이지 | 1,000원 | 등록일 2010.11.19
  • 한글파일 up/down counter
    하나씩 증가 또는 감소하여 세는 데 사용될 수 있는 카운터는 Up/Down counter라 한다. 또한 Up/Down counter라 한다. ... (a) (b) 아래 그림에서처럼 Up/Down 카운터는 입력단에 counter up과 counter 애주에 선택적인 신호를 연결해 줌으로서 두 동작을 실행할 수 있다. ... 위와 같은 조건으로는 실험 1과 같은 up-counter일 뿐이다. 의 회로에서 down-counter로 만들려면 CLOCK를 Q로부터 뽑아내야 한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2006.11.23
  • 한글파일 [Ayeun] 마이크로프로세서응용 6,7주차 결과보고서 마프(ATmega128,8bit timer,counter,스탑워치과제 코드)
    #부록(7주차 결과보고서 실습코드 + counter부 회로도) 1. ... C 문자열이 저장될 char 배열을 가리키는 포인 C 문자열이 저장될 char 배열을 가리키는 포인터(버퍼) format - string형의 문자열로. ... C문자열을 쓴다.
    리포트 | 19페이지 | 1,000원 | 등록일 2018.12.22
  • 한글파일 flip-flop and counter design(결과)
    becomes 0, the output sets to 0000. ④ 4-bit Up/down preset counter The inputs of this circuit is Clock ... always@(posedge Clk) Q=D; endmodule - Waveform - Timing Analysis ③ Design and verify synchronous mod-10 counter ... , clk, B, BN); JK JK3(B & A, B & A, reset, clk, C, CN); JK JK4(A & B & C, A, reset, clk, D, DN); endmodule
    리포트 | 13페이지 | 1,000원 | 등록일 2011.07.09
  • 한글파일 flip-flop and counter design(예비)
    Counter can be divided into up-counters and down-counters by its counting direction. ... In up-counter, the number increases with the clock, and in down-counter, it decreases. ... Preset-able counter can let the user decide the starting value in up-counter and operate accordingly.
    리포트 | 16페이지 | 1,000원 | 등록일 2011.07.09
  • 한글파일 VHDL을 이용한 Simple up counter설계
    ; ENTITY tb_up_down_counter_vhd IS END tb_up_down_counter_vhd; ARCHITECTURE behavior OF tb_up_down_counter_vhd ... ◎Simple up counter 1) 소스코드 ① simple_up_counter library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL ... 사용 할 수 있게 정의되있는 데이터를 불러옴 ◀ up_counter를 구성하는 포트 설정 ◀ 위에 설정해둔 up_down 포트 ◀ signal 정의 ◀ up_down_counter
    리포트 | 4페이지 | 1,000원 | 등록일 2010.06.18
  • 한글파일 4bit up/down counter
    rising edge trigger로 동작하고, 4bit counter는 이 출력을 입력으로 받는다. ... 4bit up/down counter [회로도] [시뮬레이션 결과] [결과 분석] 처음 MUX에서의 출력은 S신호가 0⇒B, 1⇒A가 출력되고, 그 출력을 입력으로 받는 MUX_FF는 ... 하고, 1일 때는 DOWN counting을 한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2008.12.03
  • 한글파일 디지털시스템 실험(동기식 up/down counter)+응용한 신호등 설계
    Up/down counter의 Verilog code이다 2. 조교님이 올려주신 testbench code이다 3. FPGA보드에 연결하여 Upcount부터 실행하여 보았다. ... 단순히 counter로도 이런 복잡해 보이는 것을 응용하여 만들 수 있다는 게 신기하고, 유용하다는 것을 깨달았다. ... Green, yellow, red 순으로 바뀌는데 사이사이의 시간은 5초, 3초, 2초로 바뀌게끔 counter가 5번지나면 green에서 yellow로 바뀌는 이론을 이용하여 coding을
    리포트 | 3페이지 | 1,000원 | 등록일 2014.11.03 | 수정일 2016.11.10
  • 한글파일 2bit binary counter & Mealy Machine
    VHDL 실습 6주차 레포트 2bit binary counter & Mealy Machine 담당교수 : 성광수 교수님 담당조교 : 이무진 조교님 전자공학과 20721767 최아랑 ... 즉 Mealy Machine은 input이 output에 영향을 미친다는 것이다. ▷2bit binary counter 2비트 2진 카운터란 2진법에 의하여 수를 헤아리는 계수기이다 ... Down=0이면 up counter로 00, 01, 10, 11 의 순서로 카운터되고 Down=1일 경우 downcounter로 00, 11, 10, 01과 같은 순서로 카운터된다.
    리포트 | 9페이지 | 2,000원 | 등록일 2010.12.27
  • 한글파일 메카트로닉스 실습 보고서-99 counter to 7-segments using ATmega16
    메카트로닉스 및 실습 보고서 -99 counter to 7-segments using ATmega16 과목 메카트로닉스 및 실습 담당교수 제출일 학과 학번 이름 1. ... ~ PA7의 자리를 나타낸다. ④ ‘입력’은 0, ‘출력’은 1로 표현되므로 ‘DDRA=0x00’는 PORTA를 모두 ‘입력’으로 사용한다는 뜻이다. ex) 만약 DDRA=0x0C라 ... 이진수코드의 오른쪽부터 순서대로 PA0, PA2 ~ PA7의 자리를 나타낸다. ④ PORTA=0x00는 PORTA의 초기상태가 모두 Low라는 것을 의미한다. ex) 만약 PORTA=0x0C라
    리포트 | 5페이지 | 1,000원 | 등록일 2015.10.29
  • 한글파일 VHDL을 이용한 Simple up down counter설계
    ; ENTITY tb_up_down_counter_vhd IS END tb_up_down_counter_vhd; ARCHITECTURE behavior OF tb_up_down_counter_vhd ... ◎Simple up down counter 1) 소스코드 ① up_down_counter library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL ... ◀ 200~300에 값을 초기화 하고 30000 이후에 down_counter로 동작. 2) 파형 3) 합성 schematic
    리포트 | 4페이지 | 1,000원 | 등록일 2010.06.18
  • 한글파일 메카트로닉스 실습 보고서-99 counter to 7-segments using 7447, 7490
    메카트로닉스 및 실습 보고서 -99 counter to 7-segments using 7447, 7490 과목 메카트로닉스 및 실습 담당교수 제출일 학과 학번 이름 1.
    리포트 | 5페이지 | 1,000원 | 등록일 2015.10.29
  • 한글파일 디지털전자실험 - counter 회로
    비동기 카운터의 전파지연 - 비동기 카운터는 보통 리플 카운터(ripple counter)라고도 한다. 입력 클럭 펄스는 우선 첫 번째 플립플롭에 영향을 준다. ... ), 74xx08(AND), 74xx160(동기식 BCD 십진 counter) 논리상태 확인용 : LED, FND 실험 3-1 4-bit 비동기식 업 카운터 회로 회로도 설치방법 위의 ... : 74xx00(NAND), 74xx76(JK Flip-Flop), 74xx47(BCD-to-7 segment decoder), 74xx93(4-bit binary ripple counter
    리포트 | 12페이지 | 2,000원 | 등록일 2009.01.30
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업