• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,819)
  • 리포트(4,215)
  • 논문(320)
  • 시험자료(215)
  • 자기소개서(29)
  • 방송통신대(26)
  • 이력서(10)
  • 서식(4)

바로가기

counter 독후감 - counter 관련 독후감 1건 제공

"counter" 검색결과 21-40 / 4,819건

  • 한글파일 VHDL 실습 (D-FF, JK-FF, 8-bit counter) 예비
    VHDL 실습(D-FF, JK-FF, 8-bit counter) 예비보고서 가. ... JK 플립플롭의 동작을 기능표로 나타내면 그림 4-5(c)와 같다. ... PR과 CLR에 따른 출력 Q와 Q'의 동작을 그림 4-6(c)에 기능표로 나타내었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.01.06
  • 한글파일 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 카운터설계(counter) 예비
    카운터의 회로도를 그린다. 2의 경우와 마찬가지로 버튼 입력에 따라 카운트가 증가하도록 설계한다 10 _{(10)} =1010 _{(2)}이므로, 1010 _{(2)}가 되는 경우에 clear시키기
    리포트 | 5페이지 | 1,500원 | 등록일 2020.12.23
  • 한글파일 마이크로프로세서+5주차+결과보고서 실습7 Timer and counter 응용코드 설명
    1 2019' 마이크로프로세서 실험 및 설계 2019년 마이크로프로세서 실험 및 설계 5주차 실험보고서 실습7 : Timer and counter 응용코드 설명 < 결과 보고서> 1 ... 실습7 응용코드 분석 응용코드 7 분석 #include #include unsigned char LED_Data = 0x00; int timer0Cnt = 0; SIGNAL(SIG_OVERFLOW0
    리포트 | 6페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 한글파일 counter 회로
    REPORT 제목 : counter 회로 수강과목 : 기초전자실험2 1.실험목적 -counter 회로의 동작원리에 대해 이해하고 실험을 통해 확인한다. 2.실험 배경 이론 counter ... 또한 counter 회로의 종류의 차이를 알 수 있었습니다. ... counter) 첫 번째 플립플롭의 CP(clock pulse)에만 클록펄스 입력 나머지 플립플롭은 직전 플립플롭의 출력을 cp 입력으로 사용 -동기식 카운터(synchronous
    리포트 | 10페이지 | 1,000원 | 등록일 2018.11.02
  • 한글파일 예비 counter
    일명 캐스케이드(cascade) 카운터 라고도 한다. * IC specification Logic symbol Pinning information Truth table 74HC76 ... Preset and Clear - Toggle 기능을 주로 활용 - PR, CLR, J, K 핀을 모두 High 상태로 두고 CLK 신호를 주면 Toggle 가능 operation condition ... Truth table 74HC08 - Quadruple 2-Input Positive-AND Gates - 2진 또는 3진 카운터에서 나오는 값을 확인하는데 사용 operation condition
    리포트 | 5페이지 | 1,500원 | 등록일 2013.12.26
  • 한글파일 AVR Interupt, Timer/counter
    DDRC = 0b11000000; //ctl은 6번, data는 7번을 사용하므로 6,7번만 출력으로 사용. ... PORTC = 0b01000000; //led ctl 6번킴 PORTA = 0b00001000; //제일 오른쪽 세그먼트자리에 출력한다. ... 2014. 5. 30 조 : 금요일 오후 2 조 조원 : 201011404 나지원 201011428 신형균 금요일 오후 2조 201011404 나지원 201011428 신형균 5주 C
    리포트 | 7페이지 | 2,000원 | 등록일 2016.04.20
  • 한글파일 4bit up counter
    ;//클락과 클리어를 선언 wire [3:0] qout;//qout 선언 wire [7:0] seg_out;//seg_out 선언 counter4 UUT( .clk(clk), .clr ... 코드설명 `timescale 1ns/100ps//시간의 단위를 각 1ns의 크기와 100ps 단위로 설정 module counter4_tb;//모듈의 이름을 선언 reg clk, clr ... ISE를 이용하여 합성하여 programming하고 ZedBoard에서 7 Segment LED 변화를 확인하고 표를 채운다. 4bit down counter는 클락 펄스가 올라갈
    리포트 | 6페이지 | 1,000원 | 등록일 2015.03.13
  • 워드파일 verilog 6주차 seq counter FSM 보고서
    or posedge rst) //clk나 rst의 rising edge일 때 begin if(rst) Q ... Positive D latch의 경우 clock이 1일 때는 출력이 입력을 따라가지만, 0일 때는 이전 값을 유지하게 된다. ... #40 D=0; #20 D=1; #15 D=0; end endmodule D Flip Flop `timescale 1ns / 1ps module D_FlipFlop( input clk
    리포트 | 25페이지 | 1,000원 | 등록일 2018.12.27
  • 한글파일 counter 회로의 비동기식 counter와 디코더, 7-segment의 동작원리에 대해 이해하고 실험을 통해 확인한다
    REPORT counter 회로의 비동기식 counter와 디코더, 7-segment의 동작원리에 대해 이해하고 실험을 통해 확인한다. ... 이론 counter 회로 -입력되는 펄스의 수를 세는 장치 -플립플롭을 연속적으로 연결하여 구성 플립플롭의 연결숫자에 의해 count 개수가 결정 counter의 종류 -비동기식 ... 수강과목 : 기초전자실험2 1.프로젝트 목적 counter 회로의 비동기식 counter와 디코더, 7-segment의 동작원리에 대해 이해하고 실험을 통해 확인한다. 2.실험 배경
    리포트 | 6페이지 | 1,000원 | 등록일 2018.11.02 | 수정일 2020.01.22
  • 파일확장자 [실험프로토콜] EvE Automatic cell counter 사용방법
    Mix sample 10ul를 counting 판에 넣음.4. 기기에 넣어서 counting을 click.5. 값을 측정.(counting)
    리포트 | 21페이지 | 3,000원 | 등록일 2016.12.29 | 수정일 2018.05.29
  • 워드파일 [논리회로실험] 실험8. counter
    이번에 설계한 counter는 'Binary/gray Counter'이다. ... 즉, Clock이 rising edge일 때마다 지정해준 모드에 맞는 count를 수행하는 counter를 설계하였다. ... 이 counter는 현재 상태와 1bit 차이가 나는 이진수가 다음 상태가 되는 것이다.
    리포트 | 17페이지 | 2,000원 | 등록일 2014.03.22
  • 한글파일 디지털실험 15예비 up/down counter
    1111의 순서를 갖는 구조를 down counter라 한다. ... 하나씩 증가 또는 감소하여 세는 데 사용될 수 있는 카운터는 Up/Down counter라 한다. ... 디지털실험 예비보고서 실험 15. up/down counter 실험 목적 증계수, 감계수 및 증/감계수 논리를 이해한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2014.09.30
  • 파워포인트파일 counter 응용회로
    목차 설계 개요 개념 블록도 회로도_예비 _최종 설계결과물 트러블슈팅 소요부품 조원역할분담 향후 추진일정 설계 개요 카운터와 시프트레지스터 및 게이트를 응용한 설계작품을 제작. 푸쉬스위치의 버튼을1회 누를 시 10초간 동작하는 회로를 만들어 본다. 동작 시에 버튼이 눌..
    리포트 | 7페이지 | 10,000원 | 등록일 2009.10.08 | 수정일 2023.10.12
  • 파워포인트파일 counter 음악테스터
    설계목적 및 개요 최종목표 관련이론 회로 설계 트러블슈팅 완성 작품 설계 기타 * 설계목적 타이머를 이용한 회로 결선 푸시버튼 스위치의 채터링 파악 회로의 응용 방안 찾기 설계개요 푸시버튼을 이용 값 입력 받기 입력 받을 때 마다 10초씩 누적 동작 동작하는 회로는 자..
    리포트 | 13페이지 | 10,000원 | 등록일 2009.10.08 | 수정일 2023.10.12
  • 한글파일 10. Mod-n counter - 복사본
    이를 비동기식 counter라고 하며 회로는 다음과 같다. ②Mod-10-counter : Mod-10-counter는 우리들의 일상생활에서 익숙한 10진수를 기반으로 한 counter로서 ... 수 있다. 2.관련이론 ①Mod-N-counter : Mod-n counter는 각 flip-flop의 출력이 다음 단의 flip-flop의 clock pulse입력으로 연결되어 ... PSpice모의실험 (1)Mod-n counter ①측정문제1 Q. 그림 9.1의 counter 회로를 구성하고 입력에 따른 출력값을 측정하여 진리표를 작성한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2014.11.26
  • 한글파일 counter shifter verilog
    이러한 register를 이용해서 counter를 설계 할 수 있다. Counter는 clock pulse를 가해짐에 따라 증가하거나 감소하는 register이다. ... load 1bit ‘1’이면 data[7:0]값을 ck의 rising edge ... rising edge마다 cnt 8비트를 4씩 증가 ‘0’이면 ck의 rising edge마다 cnt 8비트를 4씩 감소시킴 data 8bit Counter(cnt[7:0]) 초기값
    리포트 | 19페이지 | 1,500원 | 등록일 2010.12.21
  • 한글파일 아주대 논리회로실험 counter 예비
    카운터는 클럭 펄스 인가 방식에 따라 동기식 카운터(synchronous counter)와 비동기식 카운터(asynchronous counter)로 구별한다. ... ->동기식 카운터(synchronous counter) 모든 플리플롭이 clock 펄스에 의해 동시에 Trigger되는 카운터이며 clock 펄스는 하강 edge에서 Trigger되고 ... 두 번째 실험은 74HC47로 BCD counter를 구성한 후 7-segment를 통해 표현하는 실험이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2013.11.29
  • 한글파일 무역영어 counter offer 써보기
    무역거래에서는 이후 몇 차례 오퍼가 반복되는 것이 일반적이며, 최종적으로 승낙이 되면 계약이 성립된다. 2.counter offer의 효과 청약을 받은 사람이 counter offer를 ... Yours very truly, - Counter offer - 1. counter offer 매도인의 오퍼에 대하여 매수인이 가격조건·수량조건·선적조건 등에 관해 오퍼내용의 일부를 ... 이것은 당초의 청약을 거절한 것이 되고 따라서 후에 당초의 청약을 승낙할 수 없게 된다. 3.counter offer의 발생률 가격조건상의 절충이 가장 많고 그 다음으로 품질의 재조정
    리포트 | 3페이지 | 1,000원 | 등록일 2012.04.25
  • 한글파일 FPGA VHDL up & down counter (업다운카운터)
    선언 seg_out, led_out : out std_logic_vector(7 downto 0)); end entity updown_counter4; architecture arch_updown_counter4 ... of updown_counter4 is begin process(reset, clk) variable count : std_logic_vector(3 downto 0); variable ...
    리포트 | 3페이지 | 1,000원 | 등록일 2013.06.23
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업