• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(227)
  • 리포트(206)
  • 자기소개서(19)
  • 이력서(2)

"VHDL Verilog" 검색결과 21-40 / 227건

  • 파일확장자 ripple carry counter verilog 프로그래밍
    리포트 | 3페이지 | 2,500원 | 등록일 2021.12.07
  • 워드파일 가감산기 8bit addsub8 설계 베릴로그
    59:52 2018 // From : c:\My_Designs\addsub8\addsub8\src\TestBench\addsub8_TB_settings.txt // By : tb_verilog.pl ... fa\src\fa.v // Generated : Sat Apr 7 17:58:48 2018 // From : interface description file // By : Itf2Vhdl ... File : 8bit.v // Generated : Thu May 3 13:16:05 2018 // From : interface description file // By : Itf2Vhdl
    리포트 | 18페이지 | 2,500원 | 등록일 2021.04.09
  • 워드파일 4 to 2bit binary encoder 설계 베릴로그
    Fri May 4 12:35:33 2018 // From : c:\My_Designs\en\en\src\TestBench\en2_TB_settings.txt // By : tb_verilog.pl ... File : en1.v // Generated : Thu Apr 19 13:39:18 2018 // From : interface description file // By : Itf2Vhdl ... File : en2.v // Generated : Mon Apr 30 16:04:14 2018 // From : interface description file // By : Itf2Vhdl
    리포트 | 18페이지 | 2,500원 | 등록일 2021.04.09
  • 한글파일 임베디드 시스템 레포트
    명확하고 표준화되어 있어 정보교환이 쉽고 재사용이 쉽고 대규모 설계에 용이하다. (2) Verilog : 디지털 회로를 텍스트 형태로 표현하는 등 전자 회로 및 시스템에 사용되는 ... 하드웨어 기술이다. - c언어와 유사하지 않은 VHDL과 다르게 c언어와 문법이 매우 유사하며 설계가 간단하고 대소문자를 구분하며 국제 표준이므로 설계에 제한이 없다. 5. ... HDL(Hardware Description Language) (1) VHDL : 디지털 회로를 설계할 때에 사용하는 하드웨어 기술언어이다. - 구조는 library, entity,
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • 워드파일 서울시립대학교 전전설2 3주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    Verilog는 C를 기반으로 하여 일반적으로 VHDL에 비해 배우기 쉽다. 그에 반해 VHDL은 배우고, 프로그래밍 하기에 조금 더 어렵다. ... /technology/difference-between-verilog-and-vhdl/" http://www.differencebetween.net/technology/difference-between-verilog-and-vhdl ... [1] VerilogVHDL은 electronic chip용 프로그램을 작성하기 위한 용어이다.
    리포트 | 16페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.16
  • 워드파일 서울시립대 전전설2 Lab-03 예비리포트 (2020 최신)
    Verilog HDL과 VHDL의 장단점을 조사하시오. ... 하나는 미국방성이 주도로 개발한 VHDL이고 다른 하나는 반도체 업계 주도로 개발된 Verilog HDL(Verilog)이다. ... 이에 반해 verilog HDL은 보다 언어가 유연하고 간결한 장점이 있어 VHDL에 비해 코드를 더 쉽게 작성할 수 있다.
    리포트 | 13페이지 | 1,500원 | 등록일 2021.09.10
  • 워드파일 전감산기 verilog 설계
    Verilog, VHLD설계 1.전감산기를 Verilog 또는 VHDL로 설계하고 다음에 코드를 나타내라. 시뮬레이션 및 실행 ... 전감산기 설계 과정을 통해 조합논리회로를 Verilog 또는 VHDL로 설계하는 방법에 대해 공부한다. ... 또한 이 실습을 통해서는 if~else(Verilog) 또는 if~then~elsif~end if(VHDL) 형식을 배울 수 있다. 실습 내용 실습결과 논리식 1.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.12.19
  • 파일확장자 [A+, 에리카] 2021-1학기 논리설계및실험 Verilog HDL 2 실험결과보고서
    관련 이론ü Verilog HDL과 VHDL- FPGA나 집적회로 등의 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어- IEEE 1364로 표준화되어있으며 회로 설계, 검증, ... 실험 목적Verilog HDL을 통해 FPGA를 이용하여 Full adder와 D 플립플롭을 설계해본다.Chapter 2.
    리포트 | 6페이지 | 2,500원 | 등록일 2023.02.28
  • 파일확장자 [A+, 에리카] 2021-1학기 논리설계및실험 Verilog HDL 3 실험결과보고서
    관련 이론ü Verilog HDL과 VHDL- FPGA나 집적회로 등의 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어- IEEE 1364로 표준화되어있으며 회로 설계, 검증, ... 실험 목적Verilog HDL을 통해 FPGA의 7 segment를 이용하여 Timer를 설계해본다.Chapter 2.
    리포트 | 7페이지 | 2,500원 | 등록일 2023.02.28
  • 한글파일 Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증 예비레포트 NAND2,NOR2.X
    다양한 HDL이 존재하지만, verilog hdl 과 VHDL이 FPGA과 함께 널리 쓰인다. ... 실험 제목 [Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증] 2. ... -Test bench test bench 란 VHDL을 이용하여 서술한 하드웨어의 정상동작을 확인하기 위한 검증환경이다. 실제환경에서, 그들은 충분하지 않다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.20
  • 한글파일 디지털시스템설계실습 논리게이트 결과보고서
    또는 VHDL로 표현하라. 2번 다음 회로에 대한 논리식을 세우고, 진리표를 작성한 후 Verilog 또는 VHDL로 표현하라. ... 이때 다음과 같이 Verilog 또는 VHDL 템플릿에 따라 설계한다. 2.연습문제 1번 다음 그림과 같은 회로에 대해 답하라. (a) 다음 지점의 논리식을 표현하라. ... 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 1 0 0 0 0 1 1 0 0 0 0 0 1 1 1 0 0 0 0 (c) (a)에서 세운 논리식을 이용해 회로를 Verilog
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.16
  • 워드파일 ALU 8bit 설계 베릴로그
    : myand.v // Generated : Thu May 10 14:18:44 2018 // From : interface description file // By : Itf2Vhdl ... Thu May 10 14:52:48 2018 // From : c:\My_Designs\alu\alu\src\TestBench\alu_TB_settings.txt // By : tb_verilog.pl
    리포트 | 36페이지 | 2,500원 | 등록일 2021.04.09
  • 한글파일 디시설 - 기본적인 디지털 논리회로 설계
    이때 다음과 같이 Verilog 또는 VHDL 템플릿에 따라 설계한다. 3. ... 다음 회로에 대한 논리식을 세우고, 진리표를 작성한 후 Verilog 또는 VHDL로 표현하라. ... 다음 논리식에 대해 진리표를 작성하고 카르노 맵을 이용해 간소화된 논리식을 세운 후, 간소화된 논리식을 Verilog 또는 VHDL로 표현하라.
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 디지털 시스템 설계 및 실습 전감산기 설계
    전감산기 설계 과정을 통해 조합 논리회로를 Verilog 또는 VHDL로 설계하는 방법에 대해 공부한다. ... 또한 이 실습을 통해서는 if~else(Verilog) 또는 if~then~elsif~end if(VHDL) 형식을 배울 수 있다. 2. ... 전감산기 Verilog 코드 1) MyFulladder.v module MyFulladder(x,y,z,D,B); input x,y,z; output D,B; assign D = (
    리포트 | 3페이지 | 1,000원 | 등록일 2020.11.02
  • 워드파일 [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(결과) / 2021년도(대면) / A+
    VHDL: 미 전기학회(IEEE) 표준 HDL, 엄격한 문법 - 미국 국방성을 중심으로 1987년 표준화되었다. ... 2001년에 IEEE Std. 1364-2001로 개정되었다. - 새로운 SystemVerilog가 개발되어 ISEE 표준화를 추진중이다. - C와 비슷한 Syntax로, 문장 기술이 VHDL보다 ... Digital Design with an Introducton to the Verilog HDL 5thedition 3) 연세대학교 정보통신용 SoC설계연구실 Verilog 문법 교안
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 워드파일 전전설2 3주차 실험 결과레포트
    Verilog HDL은 1983년 Gateway Design Automation사에서 하드웨어 기술언어인 HiLo와 C에서 매우 유사하지만 Verilog로 작성된 코드는 VHDL로 ... 하나는 VHDL로 미국방성 주도로 개발하였고 엄격한 문법이 특징이고 나머지 하나는 Verilog HDL로 반도체 업계주도로 개발하였고 유연한 문법이 특징이다. ... 실험주제 : Introduction to Verilog HDL 2.
    리포트 | 23페이지 | 1,000원 | 등록일 2021.11.30
  • 한글파일 디시설 - 멀티플렉서, 디멀티플렉서 설계
    이것은 VerilogVHDL이 합성되는 과정에서 그 전의 값을 유지하기 위해 래치를 포함시키기 때문이다. ... 따라서 이번 실습에서는 멀티플렉서와 디멀티플렉서의 동작을 이해하고 VHDL 코드를 작성하여 설계한다. 실습 내용 실습 결과 MUX 1. ... VHDL 코드 분석 - 라이브러리 지정 후, entity 선언을 한 후 순차기술문 또는 병행기술문으로 멀티플렉서 구현 이 가능하다.
    리포트 | 7페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 디지털 시스템 설계 및 실습 디멀티플렉서 설계 verilog
    따라서 이번 실습에서는 디멀티플렉서의 동작을 이해하고, Verilog 또한 VHDL이 회로로 합성되는 과정을 이해한다. 2. ... 이것은 Verilog나 BHDL이 합성되는 과정에서 그 전의 값을 유지하기 위해 래치를 포함시키기 때문이다. ... 디멀티플렉서의 Verilog 코드 1) DMux.v : case 문 사용 module DMux(i, S, y0, y1, y2, y3); input i; input [1:0] S; output
    리포트 | 5페이지 | 1,000원 | 등록일 2020.11.02
  • 한글파일 순차논리회로설계 결과레포트
    [Section 02] 레지스터의 표현 [학습목표] · 순차논리 회로를 설계하기 위해 FSM도(상태도)를 작성하고, Verilog, VHDL로 설계하는 과정을 공부한다. · 설계된 ... VHDL로 설계하는 과정을 공부한다. · 설계된 순차논리 회로를 시뮬레이션으로 설계를 검증하고 실습키트에 동작을 확인한다. ... [실험결과] ▣ 레지스터 동작 실험 실제 Verilog를 이용한 레지스터 설계 ▷ 레지스터의 Verilog 표현 ▷ 레지스터의 Verilog 설계 컴파일 과정 ▷ 레지스터의 동작 확인
    리포트 | 10페이지 | 3,000원 | 등록일 2021.06.10 | 수정일 2022.04.18
  • 파워포인트파일 디지털설계방법의 종류
    , Verilog, HDL 등 그림 1. ... 셀 라이브러리 - VHDL 데이터 - 논리 심볼 회로도 - 시뮬레이션을 위한 타이밍 정보 표준셀 예 - 고밀도와 고성능을 휘나 최적화 셀 - 3.3V 인터페이스를 위한 I/O 셀 - ... Gate Array ) 완전 주문형 설계 방식 설계 사양 회로도 기술 회로도 엔트리 레이아웃 설계 공정 제조 마스크 발생 시물레이션 시물레이션 LVS, DRS, ERC 를 이용한 검증 VHDL
    리포트 | 12페이지 | 3,000원 | 등록일 2022.08.10
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업