• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,620)
  • 리포트(1,372)
  • 논문(176)
  • 시험자료(49)
  • 자기소개서(15)
  • 방송통신대(5)
  • 서식(1)
  • 이력서(1)
  • ppt테마(1)

"signal processing" 검색결과 41-60 / 1,620건

  • 파일확장자 FPGA [package & function & procedure]
    그리고 signal ( ... process선언부, 즉 process와 begin 사이에 선언하였다. architecture선언부와 다른 점은 procedure 위치가 architecture와 begin사이가 ... 하며 process maxmin() 괄호안에 처음에 선언한 port입출력값의 순서를 맞춰서 설계해야만 원하는 값을 얻을 수 있을 것이다. procedure에서 출력값(c) (d)
    리포트 | 8페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 파일확장자 운영체제 과제[Posix Timer를 사용해서 혈압 생성 및 평균 계산(Linux&C언어)]
    함수를 wait 한 condition 에 signal 을 보내서 실행시킵니다 ... 각 쓰레드는 data_acquisition_thread 함수와 bp_processing_thread 함수를 실행합니다. ... 이완기 혈압의 범위는 60~90 이고, 수축기혈압의 범위는 110~150 이어야 합니다. bp_processing_thread 는 1/10 초마다 실행되며 평균을 계산한 후, 어떤
    리포트 | 7페이지 | 5,000원 | 등록일 2020.11.22
  • 한글파일 디시설 - 전가산기, 전감산기 설계
    입출력 port signal인 경우 entity 내에 port로 선언해 입출력 신호를 정의하며, 그 외의 signal 선언은 architecture와 begin 사이에 넣는다. ... 하지만 단순히 진리표를 VHDL 코드로 표현한다고 해도 Schemat받기 위한 수단으로 활용된다. signal에는 두 종류가 있는데, 입출력 port로 선언하는 방법과 내부 신호 signal로 ... 그 후 3비트로 선언된 signal k에 입력으로 들어오는 3비트 x, y, z를 각각 대입한다.
    리포트 | 9페이지 | 1,000원 | 등록일 2019.07.20
  • 파워포인트파일 biosensor에 대한 발표 자료 입니다.
    Third after transduction signal from biological to electrical signal where its amplification is necessary ... Conclusion The pertinence of biosensor techniques in the field of processing and quality supervision ... and takes place and read out in detector after processing the values are displayed for monitor and controlling
    리포트 | 12페이지 | 1,500원 | 등록일 2019.10.20
  • 한글파일 영어 보고서 - 유산균
    material (Wnt3 cytokine) that activates intestinal stem cells is controlled by the signal of lactic ... research team observed the intestinal mucous membrane of mice which ingested probiotics and found that the signal ... Lactic acid fermentation, also called lactobacillus, is an metabolic process performed by various bacteria
    리포트 | 2페이지 | 3,000원 | 등록일 2024.01.25
  • 워드파일 [영문 래포트] HCI 프로세스에 필요한 Skillset
    Speech recognition cognize human voice automatically in computers through acoustic speech signal. ... These computer skillsets are used in HCI process. ... This technology translates everyday language so computers can process with it.
    리포트 | 1페이지 | 1,000원 | 등록일 2020.11.15
  • 워드파일 VHDL 신호등 구현
    4. stop과 go를 표현할 seg 4개 5. reset 스위치 아키텍쳐 앞부분에 FSM을 이용하기 위한 state 3개를 만들어 놓고, input1이라는 시간을 카운트할 변수(signal ... 2번째 process이다. ... 이 process는 내부 state 변화과정을 담는다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.11.10
  • 한글파일 디지털논리회로실험(Verilog HDL) - SR Latch, Level-Sensitive D-latch, D Flip-Flop
    Ideally, both gates are do not change it again until the circuit has had time to complete all its signal ... travels when c = 1 →In figure below, signal travels through exactly one flip-flop, for Clk_A or Clkl_B ... S atch - D latch still has problem (as does SR latch) → when c = 1, through how many latches will a signal
    리포트 | 12페이지 | 1,000원 | 등록일 2019.08.29
  • 워드파일 기초전자회로 및 실험 - CS Stage
    The diagram below is the comparison between experimental small signal gain and theoretical small signal ... (Since gain is defined as and small signal red for and was also . ... Check the output waveform 4) Change the value of R and repeat the process 3).
    리포트 | 5페이지 | 2,000원 | 등록일 2019.10.26 | 수정일 2021.09.23
  • 워드파일 공정제어 hw2 applet4 Understand the Actuator, Control Valve
    Command signal을 조정할 때 positioner가 있을 때 보다 outflow가 둔탁하게 반응 하는 모습을 보였다. 5. ... 그 다음 단계는 value characteristic, 즉 applet에서는 Cv값을 잘 조정해서 내가 움직이는 command signal 범위에서는 linear 하도록 조절해야한다 ... Air to open 일 때와 Air to close 일 때 command signal이 20ma로 같을 때 air to open은 gpm이 maximum인 상태이고 air to close는
    리포트 | 8페이지 | 2,000원 | 등록일 2021.04.30 | 수정일 2021.05.04
  • 한글파일 디시설 - 4비트 가산감산기 , BCD 가산기
    변수, bcd는 1의 자리 수를 나타내기 위한 signal 변수이다. process(a, b) : mid_sum은 a+b의 값을 가지고 합이 10보다 작은 경우, 즉 1의 자리 수만 ... 크기를 갖는다는 것을 나타낸다. entity 선언 : 입력 a, b는 integer 타입으로 4비트씩이며, package에서 ‘w=4’로 정의했으므로, 0~15의 범위를 갖는다. signal ... 형식으로마찬가지로 0~9의 숫자만 표현하면 되기 때문에 adder_value와 동일한 범위로 설정. architecture 선언 : carry는 10의 자리 수를 나타내기 위한 signal
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 한글파일 [연세대학교 확률과랜덤변수] 전기전자공학부 전공선택 교과목 확률과랜덤변수 프로젝트 최종 보고서 (전체 MATLAB 코드 및 설명 포함)
    (a) Calculate the power of original (un-quantized signal) signal, ie. E( X ^{2}). ... We can calculate power of signal using E (X ^{2}). ... The second question is about quantizing the signal. We will use several type of quantizer.
    리포트 | 87페이지 | 15,000원 | 등록일 2019.05.23 | 수정일 2019.07.26
  • 워드파일 기초전자회로 및 실험 - CD Stage
    (Since gain is defined as and small signal model deals with small changes in , small signal gain can ... In respect to the waveform, since the input signal is , the output signal is also sinusoidal function ... Check the output waveform. 4) Change the value of R and repeat the process 3).
    리포트 | 5페이지 | 2,000원 | 등록일 2019.10.26 | 수정일 2021.09.23
  • 한글파일 Hamming window에 대한 실험, MATLAB 코드 포함
    을 가지는 것을 확인할 수 있었다. 3) Phase 분석 수업시간에 배운 대로 phase가 signal이 한번 뒤집어질 때마다 아래로 떨어지기 때문에 rectangular pulse ... Rectangular window를 통과한 signal의 경우 cut-off frequency에서 더 많은 delta 로 값이 튀었고 Hamming window의 경우 훨씬 적은 delta ... Digital Signal Process 융합전자공학부 2014002742 김명훈 1.
    리포트 | 3페이지 | 1,000원 | 등록일 2019.10.02
  • 한글파일 Gibbs' 현상에 대한 실험2, MATLAB 코드 포함
    과제 분석 1) 과제의 목적 Frequency domain에서의 rectangular pulse는 time domain의 sinc function signal을 fourier transform시켜야 ... = sum _{n=-K} ^{K} {sinw _{c} n} over {pi n} e ^{-jwn}인데 K -> INF 로 발산하게 되면 frequency domain에서 나타나는 signal이 ... Digital Signal Process 융합전자공학부 2014002742 김명훈 1.
    리포트 | 2페이지 | 1,000원 | 등록일 2019.10.02
  • 파워포인트파일 화학센서
    - Sensor is a device that converts external stimuli into electrical signals. ... to detect and measure. - This refers to changing the amount of physics and chemistry into electric signals ... to water vapour in the atmosphere Humidity Sensor 10p A device that can identify an analyte with a signal
    리포트 | 26페이지 | 2,000원 | 등록일 2019.09.22
  • 파워포인트파일 Semiconductor Device and Design - 8_
    Put some other reference signal (with which parasitic capacitance is not so important) in between the ... using a particular process. ... CMOS process design rules 2. The method of implementing the half-adder 3.
    리포트 | 18페이지 | 2,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 한글파일 HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    (signal은 architecture와 begin 사이에 선언했다.) case 구문을 사용한 GRAY Code Counter의 타이밍 시뮬레이션도 case 구문을 사용한 GRAY ... ADC MODEL 2. case 구문을 사용한 BCD Counter 만들기 15줄 : 0부터 9까지를 하나로 묶어 state_type으로 선언했다. state_type을 새로운 signal로 ... 개요도ADC_컨트롤러 상태 다이아그램 중앙처리장치 마이컴의 부하를 줄여주기 위해 ADC 역할을 FPGA에 프로그래밍 하는 것을 목표로 설계를 하였다. 19줄 : 4가지 상태를 갖는 signal
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • 한글파일 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 8 보고서
    First, the master interface sends address and control signal to the slave interface. ... The slave can make many kinds of signal responses such as OKAY, RETRY, SPLIT, and ERROR. ... When a master sends signal to an arbiter, the arbiter controls whether the bus access is allowed or not
    리포트 | 20페이지 | 3,000원 | 등록일 2020.08.18
  • 워드파일 이상심리학 분야의 전통과 현대(2010~) 데이터 분석 방법 비교
    In addition, we will focus on papers using neuroimaging and neurophysiological signals, which are common ... Traditional Data Analysis Method (Before 2010) First, let’s focus on papers with neurophysiological signals ... Image data from SPECT were processed with SP1 computer and reconstructions was performed by filtered
    리포트 | 5페이지 | 3,000원 | 등록일 2022.01.07 | 수정일 2022.06.15
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업