• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(105)
  • 리포트(73)
  • 자기소개서(29)
  • 이력서(2)
  • 시험자료(1)

"VHDL을 이용한 전자시" 검색결과 61-80 / 105건

  • 한글파일 SKT 합격 자소서, SK텔레콤 자소서, SKT 서류합격
    또한 프로그래밍 기초와 실습, 전자전기프로그래밍 등과 같은 과목을 수강하며 과학고 때 배웠던 C++, VHDL을 이용한 코딩수준을 한 단계 높일 수 있었습니다. ... [과학인재의 요람 속 치열한 경쟁] 과학고에서의 하루하루는 정말 피 말리는 경쟁의 연속이었습니다. 5시 30분 기상부터 12시 30분 취침까지 빈틈없이 돌아갔으며 저를 포함한 모든 ... 처음에는 막막했지만 우선 회로도를 보고 통화 시 ‘퍼벅’하는 팝콘튀기는 Noise 이슈, 설삽 후 삽입 시 인식불가 이슈 등 각각의 이슈에 관련되었을 걸로 예측되는 부품에 점퍼 선을
    자기소개서 | 6페이지 | 3,000원 | 등록일 2015.08.28
  • 워드파일 실험2 제10주 Lab08 Post Counter & 7Segment & Piezo
    전자전기컴퓨터설계실험Ⅱ 분반 : 문용삼 교수님 주차 : 10주차 과목 : 전자전기컴퓨터설계실험Ⅱ 학과 : 전자전기컴퓨터공학부 학번 : 2009440132 이름 : 전상기 -목차- ... 이번 실험에서 특히 중요했던 것을 VHDL 언어의 ‘if’ 구문과 ‘case’ 구문의 공통점/차이점과 활용방법이었다. ... 이어서 음계주파수와 Input Capture Resgister를 통해 음계주파수를 이해하고 Piezo를 이용하여 주파수 대역별로 음을 낼 수 있다.
    리포트 | 12페이지 | 1,500원 | 등록일 2014.03.11 | 수정일 2014.03.17
  • 한글파일 순차회로 설계 예비보고서
    JK F/F (1) 진리표 : Q+ = JQ' + K'Q (2) 특성표 (3) 상태도 (4) 논리 기호 (5) Nor 게이트를 이용한 JK F/F 회로도 (6) VHDL 코딩 library ... 과 목 : 논리회로설계실험 과 제 명 : 순차회로 설계 담당교수 : 담당조교 : 학 과 : 전자전기공학과 학 년 : 3 학 번 : 이 름 : 제 출 일 : 2014. 5. 1 논리회로설계 ... 내부로 읽어들이는 경우에 사용한다. ③ 병렬입력-직렬출력 (PISO : parallel-in serial-out) : 데이터를 병렬로 입력하여 직렬로 출력하는 레지스터로 직렬 통신 시
    리포트 | 7페이지 | 1,000원 | 등록일 2014.07.25
  • 한글파일 VHDL 설계과제 LINE TRACER
    라인트레이서는 전공과목(전자공학설계입문)이나 기타 교양 과목을 통해 직접 만들어보고 사용도 해보아서 큰 문제없이 만들 수 있을 것이라고 생각했는데, 라인트레이서의 작동을 VHDL을 ... 또한 정지 신호를 인식하여 정지하는 기능과 장애물을 피해 돌아가는 기능을 만족하여야 한다. 3가지 기능 중에서 정지 신호를 인식하는 기능을 가장 우선시하고, 다음으로 장애물을 피해 ... 프로젝트 설계과정에서 VHDL에 대한 이해부족으로 많은 어려움이 있었지만, 설계를 진행하가면서 VHDL에 대한 이해와 논리회로 설계에 대해 많은 것을 공부하고 배울07.
    리포트 | 6페이지 | 5,000원 | 등록일 2012.03.11
  • 한글파일 led전광판 자료조사
    VHDL의 세계 VHDL은 기본적으로 ASIC과 같은 대규모 집적 회로의 설계시 주로 사용되는 언어로서, 기존의 하드웨어 설계시 필요한 회로도 입력의 번거로움을 대신해 단순히 코딩 ... 실제 설계시 일반적인 방법 VHDL(영어 : ... FPGA는 일단 설계가 확정되면, 성능을 더 높이기 위해 영구 전자회로를 가진 칩들로 생산된다.
    리포트 | 3페이지 | 2,500원 | 등록일 2011.11.20
  • 한글파일 서강대학교 디지털논리회로실험 8주차결과
    (화) 학 과 : 전자공학과 성 명 : 1. 실험 제목 Multiplier Design 2. ... 토의 4-bit multiplier구성시 Shift-and-add를 사용할 경우 직관적으로 이해가 쉽고 회로구조 역시 간단하다는 장점이 있다. ... 참고 문헌 [1] Brown and Vranesic, “Fundamentals of Digital Logic with VHDL Design”, 2nd edition, McGraw-Hill
    리포트 | 5페이지 | 2,000원 | 등록일 2014.01.02
  • 한글파일 응용논리회로 텀프로젝트 제안서
    주제선정 이유 - 컴퓨터나 핸드폰 기타 많은 전자제품에 표시되어 있는 시계의 경우 대부분이 디지털 시계로 표시되어 있습니다. ... State Diagram ① MODE : 시계의 전반적인 기능을 선택하는데 이용 (시계, StopWatch, 달력, 알람) ② Sub-Mode : 시간, 날짜 등을 변경하는 세부적인 ... 바탕으로 VHDL에 대해서 좀더 익숙해 지는 계기를 마련하기 위하여 선정하였습니다. 7.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.10.13
  • 한글파일 [6주차] Memory
    RAM을 설계해보기에 앞서 ROM(Read only Memory)의 작동을 이해하고 VHDL을 이용하여 Behavioral level에서 설계를 해본다. 1. ... 이 경우 각 Address에 해당하는 Data값은 이미 지정이 되어있으며, VHDL 프로그래밍 과정에서는 constant를 이용하여 상수로서 지정해 준다. ... Rom(Read only Memory) 가장 기본적인 형태의 ROM으로서 공장 출고시 저장 된 데이터 값의 삭제 및 제 입력이 불가능하다.
    리포트 | 10페이지 | 2,000원 | 등록일 2012.06.30
  • 한글파일 FPGA HBE-COMBO, VHDL이용한 CARGAME 최종보고서
    디지털 시스템 VHDL을 이용한 CAR_GAME 설계 1. 제작동기 ▶ FPGA를 이용한 HBE - COMBO 시리즈로 무엇을 할 수 있을까? ... VHDL 소스로 설계를 시작하였습니다. ... 업로드 완료시 바로 LCD에 게임문구와 학번 이름이 뜨고 바로 서킷 준비 모드가 출력됩니다. LCD는 총 30줄(index 포함 32줄)이 2라인씩 총 15번 출력됩니다.
    리포트 | 111페이지 | 1,000원 | 등록일 2010.06.16 | 수정일 2017.07.03
  • 한글파일 매트랩 시뮬링크를 이용한 qpsk 변복조기(modem) 의 설계(timming recovery, phase recovery기능 추가)와 qpsk 변복조기의 ber curve확인
    통신실험 설계 프로젝트 전자공학도의 윤리 강령 (IEEE Code of Ethics) (출처: http://www.ieee.org) 나는 전자공학도로서, 전자공학이 전 세계 인류의 ... 따라서 HDL코드 변환을 위해서는 매트웍스사에서 시뮬링크에 사용하는 모든 블락들이 HDL변환이 가능하도록 지원서비스를 생성해주는 것이 필요합니다. 4. ... QPSK 변복조기를 설계한 후, 이를 VHDL로 설계 3) QPSK 모뎀의 Block Diagram 4) 구성한 QPSK Block Diagram 5) 추가 기능 ㉠ Squaring
    리포트 | 11페이지 | 3,000원 | 등록일 2012.12.20
  • 한글파일 LG 이노텍 자기소개서
    전자공학을 배우면서 전반적인 하드웨어관련 이론을 배웠으며, MAX와 Quartus II 툴을 이용하여 VHDL에 대하여 공부하였습니다. ... 세탁은 새벽부터 시작하여 오후 1~2시 경에는 마무리가 되었습니다. 제가 학원을 마치고 세탁소에 도착하는 시간이 1시 경이였으므로 저의 주 업무는 카운터 이었습니다. ... 또한 ARM 프로세서에 대해 배우면서 리눅스와 Empos 보드를 이용하여 프로그램 실습을 하였습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2013.06.23
  • 한글파일 레닌저 생화학 5판 Ch17~Ch20,Ch22 요약정리
    없을 때 여러 조직으로 운반됨. ③ 생합성을 위한 전구체로 사용됨. - mt에서 지방산 분해시 에너지 생성. ... 각 전자운반자의 산화상태에 미치는 전자전달 억제제의 효과를 측정. ... 형성. - lipoprotein : 중심에 소수성지질이 모여 있고, 표면에 친수성 단백질의 곁사슬과 지질의 머리부분이 모여 구형의 응집체를 이룸. chylomicron, VLDL, VHDL
    리포트 | 21페이지 | 7,000원 | 등록일 2012.12.22 | 수정일 2014.04.18
  • 워드파일 VHDL BCD to Excess-3 Code Converter(prelab) xilinx spartan3e board실험
    업계에 보고되고 있다. (5) VHDL Synthesis를 위한 Modeling Technique VHDL Modeling VHDL을 이용하여 coding을 할 때에는 다음과 같은 ... 실 험 방 법 (Materials & Methods) HYPERLINK \l "실험도구" 1) Materials HYPERLINK \l "method" 2) Method of the ... 또한 미국방성은 매년 많은 야의 전자장치를 구매하는데 해마다 달라지는 전자기술 때문에 구매하는 전자장치의 효율적인 관리와 운용에 애를 먹고 있었다.
    리포트 | 28페이지 | 2,000원 | 등록일 2008.09.28
  • 한글파일 lg전자SW최종지원서
    본인의 가장 큰 실패 경험에 대하여 'Top Secret' 3학년 당시 설계 프로젝트는 VHDL을 이용하여 문했습니다. ... C, C++, VHDL 등의 언어를 사용한 경험은 제가 LG전자의 임베디드 시스템 소프트웨어 개발분야에서 충분히 활용가능할 것입니다. LG전자의 미래 기술을 선도하겠습니다. ... 이 프로젝트를 진행하며 전문지식의 한계를 벗어나지 못해, 프로젝트시 사용하였던 칩 관련 회사에 찾아가 전문가로부터 회로 설명도 듣고 모르는 부분에 대해서는 또 다른 관련분야의 전문가를
    자기소개서 | 5페이지 | 3,000원 | 등록일 2011.04.04
  • 한글파일 삼성탈레스 자소서
    둘째, 학부생활 동안 다양한 전자전기 프로젝트를 진행해 왔습니다. 이를 통해 팀원들과의 협동심을 배울 수 있었고, 창의적으로 문제를 해결하는 방법을 배울 수 있었습니다. ... 프로젝트경험3 :Xilinx ISE 기반의 VHDL을 활용하여 Spartan-3E Board에 프로그래밍한 소프트웨어를 설치하여 LCD에 디지털 시계를 출력한 프로젝트입니다. ... 일반 시계의 시간, 분, 초를 출력하도록 설계하였고, 기능 버튼을 이용하여 시간 수정, 설정, 초시계 이용 등의 기능을 할 수 있도록 구현하였습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2011.04.04
  • 한글파일 RS와D플립플롭실험(예비)
    CLK앞에 NOT 게이트를 부착하면 하강 에지에서 값을 출력으로 내보내는 D플립플롭 설계도 가능하다. - 참고문헌 : VHDL을 이용한 디지털 논리회로 설계(William Kleitz ... 단현상의 파형관측은 이 밖에 일반용 오실로스코프를 사용하여 사진으로 관측하거나 전자 오실로그래프 ·잉크기록 오실로그래프 위의 기록에 의해 얻을 수도 있다. ... ※ 결석 1회는 4점 감점, 지각 1회는 1점 감점 ※ 보고서를 금주 금요일 이후에 제출한 학생은 감점 처리 ※ 실험을 정상적으로 수행하지 않고, 데이터를 조작하여 결과보고서 작성시
    리포트 | 11페이지 | 2,000원 | 등록일 2012.10.11 | 수정일 2013.11.18
  • 워드파일 VHDL The Usage of Xilinx ISE on Spartan-3(자일링스 사용법 Post lab)
    우리는 VHDL을 이용한 디지털 회로 설계를 관리하는 project의 생성과 관리 그리고 시뮬레이션과 에뮬레이션을 통하여 실습의 전체적인 흐름을 파악하도록 한다. ... 또한 사전강의에서 배운 과정 하나하나를 빼놓지 않도록 실험하는 동안 주의를 기울여 실험을 하여야 하겠다. # 참고문헌 - ISE를 이용한 VHDL 및 FPGA 실습, Xilinx ISE ... 전자전기컴퓨터설계실험III POSTLAB REPORT [The Usage of Xilinx ISE on Spartan-3] 학 과 담당교수 조 학 번 이 름 제 출 일 목 차 HYPERLINK
    리포트 | 8페이지 | 2,000원 | 등록일 2008.09.28
  • 파워포인트파일 임베디드 프로세서와 arm
    ARM 임베디드 시스템 하드웨어와 소프트웨어가 조합되어 특정한 목적을 수행하는 시스템 특정한 기능을 수행하기 위한 프로세서와 입출력 장치 내장 시스템 제어를 위한 프로그램 내장 전자기기 ... macro cell 또는 synthesizable core 형태 chip 은 반도체 회사 또는 SoC 제조사에서 공급 ARM core 와 주변장치를 부가 저전력 설계 기술 사용 VHDL ... 삼성 , 하이닉스 등 국내 반도체 업계는 ARM core 를 사용한 칩 생산 ARM core 또는 ARM processor core ARM architecture 의 기본 원리를 이용하여
    리포트 | 19페이지 | 2,000원 | 등록일 2010.01.19
  • 한글파일 삼천리 자소서
    프로젝트 경험 VHDL을 활용한 Digital Watch, C++를 이용한 매장관리 프로그램, Code vision을 이용한 자동 제어 커튼 등의 프로젝트를 진행하며 팀장으로 참여하여 ... 그래서 이를 보완하고자 제 일을 우선시 처리하려 노력하고 있으며, 배려는 하되, 지혜롭게 거절하는 방법을 배우며 고쳐가고 있습니다. ... 지원동기 전 학부생활동안 디지털 제어, 창의공학 설계, 전자전기컴퓨터설계실험 등의 과목을 배우며 시스템 엔지니어로서 성장하고자 하는 목표를 정하게 되었습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2011.04.04
  • 한글파일 [합격] 현대엔지니어링 2011년 하반기 이공계 공채 지원서
    이용해 주기함수 생성하고 이를 푸리에 급수 이용해 분석, 합성, 진폭 변조 수행 후 원 입력 신호로 복원하는 프로젝트 진행 ... 성격 및 생활신조 [서울시 표창장을 수상하다 - A foot in your shoe] 저는 책임감이 강하고 인내심이 많은 성격입니다. ... segment LED 에 핸드폰 문자 입력 시스템 구현 - 기초회로이론 (A-) : 전자공학 공부를 하는데 있어서 가장 기초가 되는 과목 프로젝트로 ORCAD 사용해 Voltage
    자기소개서 | 3페이지 | 3,000원 | 등록일 2012.09.11
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업